第 12 章 数模转换与模数转换接口.ppt

  1. 1、本文档共40页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
1 本章内容 一、 概述 二、 A/D 转换器原理及连接使用方法 三、 D/A 转换器原理及连接使用方法 2 模拟量 I/O 接口的作用: ? 实际工业生产环境 —— 连续变化的模拟量 例如:电压、电流、压力、温度、位移、流量 ? 计算机内部 —— 离散的数字量 二进制数、十进制数 一、概述 模拟量 D/A 传感器 执行元件 A/D 数字量 数字量 模拟量 模拟量输入 ( 数据采集 ) 模拟量输出 ( 过程控制 ) 计算机 工业生产过程的控制流程: 3 模拟接口电路的任务 模拟电路的任务 工 业 生 产 过 程 传 感 器 放大 滤波 多路转换 采样保持 A/D 转换 放大 驱动 D/A 转换 输出 接口 微 型 计 算 机 执行 机构 输入 接口 物理量 变换 信号 处理 信号 变换 I/O 接口 输入通道 输出通道 4 相关组件 传感器( Transducer ) 非电量 → 电压、电流 低通滤波 平滑输出波形,降低干扰,提高信噪比 量程放大器 把传感器信号放大到 A/D 转换所需要的量程范围 多路转换开关( Multiplexer ) 多选一 采样保持电路( Sample Holder , S/H ) 保证变换时信号恒定不变 5 二、 A/D 转换器原理及连接使用方法 1 、 工作原理及技术指标 2 、 典型的 ADC 简介 ( ADC0809 ) 6 1 、工作原理及技术指标 用途 : 将连续变化的模拟信号转换为数字信号, 以便于计算机进行处理。 常用于数据采集系统或数字化声音。 A/D 转换的四个步骤: 采样 → 保持 → 量化 → 编码 采样 / 保持:由采样保持电路完成 量化 / 编码:由 ADC 电路完成 7 1 、工作原理及技术指标 逐次逼近型 A/D 转换器: 结构:由 D/A 转换器、比较器和逐次逼近寄存器 SAR 组成。 V i - + 逐次逼近寄存器 D/A 转换器 V c 比较器 数字量输出 控制电路 模拟量输入 8 工作原理 类似天平称重量时的尝试法,逐步用 砝码的累积重量去逼近被称物体。 例如: 用 8 个砝码 2 0 g , 2 1 g , … , 2 7 g ,可以称出 1 - 255 g 之 间的物体。现有一物体,用砝码称出 其重量。 9 主要技术指标 1) 精度 量化间隔 ( 分辨率 ) = V max / 电平数 ( 即满量程值 ) 例:某 8 位 ADC 的满量程电压为 5V ,则其分辨率为 5V/255=19.6mV 2) 转换时间 转换一次需要的时间。精度越高(字长越长),转 换速度越慢。 3) 输入动态范围 允许转换的电压的范围。如 0--5V 、 -5V--+5V 、 0 — 10V 等。 10 2 、典型的 ADC 简介 ( 1 ) ADC0809 8 通道 8 位 A/D 转换器,主要功能: ①分辨率为 8 位 ②逐位逼近型 ③转换时间为 100μs ④内置三态输出缓冲器(可直接接到数据总线上) 11 ADC 0809 结构方框图 图: ADC0809 结构方框图 D 7 -D 0 :输出数据线(三态) IN 0 -IN 7 : 8 通道(路)模拟输入 ADDA 、 ADDB 、 ADDC :通道地址(通道选择) START :启动转换 CLK :时钟输入( 10KHz-1.2MHz ) OE :输出允许(打开输出三态门) 12 引脚功能 D7-D0 :输出数据线(三态) IN0-IN7 : 8 通道(路)模拟输入 ADDA 、 ADDB 、 ADDC :通道地址(通道选择) ALE :通道地址锁存 START :启动转换 EOC :转换结束,可用于查询或作为中断申请 OE :输出允许(打开输出三态门) CLK :时钟输入( 10KHz-1.2MHz ) V REF (+) 、 V REF (-) :基

文档评论(0)

jinchenl + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档