电子称C语言程序.pdfVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
. 下面介绍 main.c 主程序编写,其他程序略。 (1) 头文件和一些宏定义 #include reg52.h #include intrins.h #include string.h #include lcd.h #include hx711.h #include keyboard.h // 定义量程系数 #define RATIO 2114/1623 // 定义标识 volatile bit FlagTest = 0; // 定时测试标志,每 0.5 秒置位,测完清 0 volatile bit FlagKeyPress = 0; // 有键按下标志,处理完毕清 0 volatile bit FlagSetPrice = 0; // 价格设置状态标志,设置好为 1。 // 管脚定义 sbit LedA = P2^2; sbit beep = P1^0; sbit alert = P1^1; // 显示用变量 int Counter; uchar idata str1[6] = 000000; int i, iTemp; // 称重用变量 unsigned long idata FullScale; // 满量程 AD 值/1000 unsigned long AdVal; //AD 采样值 unsigned long weight; // 重量值,单位 g unsigned long idata price; // 单价,长整型值,单位为分 unsigned long idata money; // 总价,长整型值,单位为分 // 键盘处理变量 uchar keycode; uchar DotPos; // 小数点标志及位置 // 函数声明 void int2str(int, char *); void Data_Init(); void Port_Init(); void Timer0_Init(); void Timer0_ISR () ; void INT1_Init(); void KeyPress(uchar); void To_Zero(); void Display_Price(); void Display_Weight(); void Display_Money(); Word 文档 . // 整型转字符串的函数,转换范围 0--65536 void int2str(int x, char* str) { int i=1; int tmp=10; while(x/tmp!=0) { i++; tmp*=10; } tmp=x; str[i]=\0; while(i1) { str[--i]=0+(tmp%10); tmp/=10; } str[0]=tmp+0; } // 重新找回零点,每次测量前调用 void To_Zero() { FullScale=ReadCount()/1000; price=0; } // 显示单价,单位为元,四位整数,两位小数 void Display_Price() { unsigned int i,j; display_GB2312_string(5,44, ); i = price/100; // 得到整数部分

您可能关注的文档

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档