最终版EDA软件quartus使用.ppt

  1. 1、本文档共98页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
下载配置文件 (Tools/Programmer) 优选文档 * 选择编程模式 优选文档 * 优选文档 * 选择编程器 优选文档 * 优选文档 * 下载成功 优选文档 * 优选文档 * 编程配置器件 优选文档 * 选择编程目标文件 优选文档 * 选择配置器件的工作方式 配置失败后自动重新配置 优选文档 * 选择配置器件和编程方式 产生压缩的配置文件 主动串行模式 优选文档 * 1.5 全程编译 优选文档 * 编译信息报告 编译信息栏 优选文档 * 优选文档 * 1.6 应用RTL电路图观察器 优选文档 * 优选文档 * 1.7 时序仿真 打开波形编辑器 (建立VWF文件) 优选文档 * 优选文档 * 优选文档 * 设置仿真时间区域 优选文档 * 优选文档 * 波形文件存盘 优选文档 * 优选文档 * 选择端口信号节点 优选文档 * 优选文档 * 优选文档 * 编辑输入波形 优选文档 * 优选文档 * 优选文档 * 设置总线数据格式 优选文档 * 设置仿真器参数 优选文档 * 优选文档 * 优选文档 * 启动仿真器 优选文档 * 打开仿真波形报告 优选文档 * 优选文档 * 优选文档 * 1.8 硬件测试 引脚锁定 优选文档 * Assignments/Assignment Editor 优选文档 * 优选文档 * 再编译一次 优选文档 * * * * * QUARTUSⅡ使用 优选文档 * VHDL程序设计完成后,必须利用EDA软件中的综合器、 适配器、时序仿真器和编程器等工具进行处理,才能使 该设计在FPGA /CPLD上完成硬件实现并进行测试。 QUARTUSⅡ是ALTERA提供的FPGA/CPLD集成开发环 境,它是ALTERA前一代FPGA/CPLD集成开发环境 MAX+plusⅡ的更新换代产品。 利用QUARTUSⅡ可以完成包括设计输入、综合、适配、 仿真测试和编程下载等FPGA/CPLD的整个开发流程。 优选文档 * 优选文档 * 文本输入设计方法 图形输入设计方法 优选文档 * 1.1 建立工作库文件夹 1.2 编辑输入设计文件 1.3 创建工程 1.4 编译前设置 1.5 全程编译 1.6 时序仿真 1.7 硬件测试 引脚锁定 下载配置文件(JTAG模式、SOF文件) 编程配置器件(AS模式、POF文件) 优选文档 * 1.1 建立工作库文件夹 优选文档 * 启动QUARTUSⅡ 优选文档 * 1.2 编辑设计文件 新建一个文件 优选文档 * 建立一个VHDL文件 优选文档 * 优选文档 * VHDL文本编辑窗口 优选文档 * 输入程序 优选文档 * 文件存盘 优选文档 * 存盘文件名应与实体名一致 优选文档 * 优选文档 * 1.3 创建工程 优选文档 * 优选文档 * 优选文档 * 将设计文件加入工程中 优选文档 * 选择目标芯片 优选文档 * 使用外部EDA工具 优选文档 * 工程设置统计窗口 优选文档 * 工程管理窗 优选文档 * 添加删除工程中的文件 优选文档 * 优选文档 * 编译 设计文件是否有误: 文本文件语法是否有错误 原理图文件连接是否有误 总设计有无超出器件资源或限制 优选文档 * 1.4 编译前设置 优选文档 * 选择目标芯片 优选文档 * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

文档评论(0)

liuxiaoyu99 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档