-瞬开延断开关.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
太原科技大学 课程设计 1 - 电子技术课程设计 瞬开延断开关 学院:华科学院 专业、班级:电气工程及其自动化082201h 姓名:常晓超 学号:200822050101 指导教师:柴婷婷 2010年12月 目录 --瞬开延断开关 一、设计任务与要求(2) 二、总体框图(2) 三、选择器件(3) 四、功能模块(4) 五、总体设计电路图(8) 六、心得体会(10) 一、设计任务与要求 设计题目:瞬开延断开关 设计一个按钮开关:该开关在按钮第一次按下时,输出信号X和Y瞬时变为高电平;在第二次按钮按下时,输出信号x瞬时变为低电平,但是输出信号y在延时90s后,才变为低电平。若x控制投影仪的灯泡,y控制投影仪的风扇,则该开关就是投影仪的开关。 该控制器如图所示: 二、总体框图 设计完成的总体方案是控制器和一个90进制计数器。 1、控制器的具体功能为:当a第一次按下时,x、y和t都瞬时变为高电平,此时无论td是高还是低电平都没有任何影响。当a第二次按下时,x和t瞬时变为低电平,y不变依然是高电平,此时若td输入低电平则y变为低电平。 下表为控制器的特性表: 2、90进制计数器的具体功能为:当rst=0且en=1的时候,器件随clk的1Hz脉冲频率在第一个90s后,ct输出从低电平变为高电平,在第二个90s后,ct输出从高电平变为低电平,循环往复,依次类推。当rst=1时则计数清零。当en=0时则计数暂停。 下表为90进制计数器的特性表: 三、选择器件 使用Altera公司出品的EPF10KLC84-4。 其芯片的内部原理图为: 其芯片的引脚图如下: 四、功能模块 1、控制器模块 (1)、控制器的逻辑功能: 一是要进行二分频,使x,y和t在a按第一次的时候变成高电平,在a按第二次的时候变成低电平。二是要使y受td和a的共同影响,当a按第一次的时候,且无论td是什么电平,y均变成高电平;当a按第二次的以后,y随(not td)变化。 (2)、控制器的VHDL语言程序为: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity sheji is port(a,td:in std_logic; x,y,t:out std_logic); end sheji; architecture one of sheji is begin process(a,td) variable s:std_logic_vector(1 downto 0); variable temp:std_logic; variable c:std_logic; begin if aevent and a=1 then temp:=not temp; end if; x=temp; t=temp; if a=1 then c:=1; end if; if td=1 and a=0 then c:=0; end if; y=c; end process; end one; (3)、控制器模块生成的符号为: (4)、控制器的仿真图如下: 图中可看出:一、不论y为何电平,当a按下时,变为高电平。二、a按下第一次时x和t瞬时变为高电平;a按下第二次时x和t瞬时变为低电平。三、当y变为高电平后,只有当td为高电平时才变为低电平。 (5)、硬件验证时管脚分配图如下: 使用EPF10KLC84-4 管脚定义说明:a=35 、td=36 、x=51 、y=52 、t=53。 (6)、在实验箱上得到了满意的结果。 2、90进制计数器模块 (1)、90进制计数器的逻辑功能:当rst为高电平的时候,计数器清零 当en为低电平的时候,计数器暂停。当rst为低电平,且en为高电平 的时候,ct端在90s后变为高电平,再90s后变为低电平,循环往复。 (2)、90进制计数器的VHDL语言程序为: library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logi

文档评论(0)

勤劳的小厮 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档