EDA交通灯课程设计(得过优的哦)(1).pdf

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA 交通灯课程设计 姓名:XXX 学号:********* 专业:******* 学院:电气与信息工程学院 1 1 系统功能设计要求 1. 东西各设有一个绿、黄、红指示灯;一个2 位7 段数码管 (1)南北和东西方向各有一组绿,黄,红灯,各自的持续时间分别 为20s ,5s,25s ; (2 )当有特殊情况时,两个方向均为红灯,计时暂停,当特殊情况 结束后,控制器恢复原来状态,继续正常工作。 (3 )用两组数码管,以倒计时方式显示两个方向允许通行或禁止通 行的剩余时间。 3. 能实现正常的倒计时显示功能。能实现总体清理功能,计数器由 初始状态开始计数,对应状态的指示灯亮。 2 设计原理 2.1 交通灯控制器的状态转换 根据论文题目要求,将红绿灯的状态转换列成如下表 上表为交通灯控制器的状态转换表 2 2.2 设计方案 设计方案 显示模块 倒计时模块 控制模块 1、显示模块由两部分组成,一是由七段数码管组成的倒计时显示器, 每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方 向3 个发光二极管。 2 、每个方向有一组2 位倒计时器模块,用以显示该方向交通灯剩余 的点亮时间。 3、控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换, 同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个 计时信号,从而控制交通灯进入下一个工作状态。 2.2 LED 动态显示与频率 由于交通灯需要使用2 位7 段LED 数码管指示通行剩余时间,故采 用LED 动态扫描方式显示当前时间。 频率设定CLK1k 对应的频率为1024hz 3 3 电路符号 交通控制器的电路符号如图 1.3 所示。其中,CLK1K 为系统时钟信 号输入端,SN 为禁止通行信号输入通行信号输入端,light0 为东西红 灯信号输出端,light1 为东西黄灯信号输出端,light2 为东西绿灯信 号输出端,light3 为南北红灯信号输出端,light4 为南北黄灯信号输 出端,light5 为南北绿灯信号输出端,led1、led2、led3、led4、为数 码管地址选择信号输出端。 4 设计方法 采用文本编辑法,既采用vhdl 语言描述交通控制器,代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity traffic IS port (clk1k,SN:in std_logic; led1, led2, led3, led4 :out std_logic_vector (3 downto 0); --显示管显示时间用 light:out std_logic_vector (5 downto 0)); --红绿黄灯 end traffic; architecture traffic1 of traffic IS signal S:std_logic_vector (1 downto 0); --状态 signal DXT:std_logic_vector(7 downto 0):=X01; 4 --东西方向时间 signal NBX:std_logic_vector(7 downto 0):=X01; --南北方向时间 signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto0);--红绿黄灯信号 signal SL: std_logic; signal temp: integer range 0 to 1023; --产生1s 计数器时计数 b

文档评论(0)

number02 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档