- 1、本文档共33页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
2009/2010 学年第 一 学期末考试试题答案及评分标准
(A 卷)
得分
一、 填空题( 20 分 , 每空格 1 分)
1、一个完整的 VHDL语言程序通常包含 实体( entity ) , 构造体( architecture ),
配置( configuration ), 包集合( package )和 库( library ) 5 各部分。
2、在一个实体的端口方向说明时,输入使用 in 表示,那么构造体内部不能再使用的输出是
用 out
表示; 双向端口是用
inout
表示;构造体内部可再次使用的输出是用
buffer
表示;
3、一个构造体可以使用几个子结构,即相对比较独立的几个模块来构成。
VHDL语言可以有
以下 3 种形式的子结构描述语句:
BLOCK 语句结构; PROCESS 语句结构和 SUBPROGRAMS
结构。
4、 VHDL的客体,或称数据对象包括了常数、
变量 variable
和 信号 signal
。
5、请列出三个 VHDL语言的数据类型, 如实数、位等。 位矢量
, 字符
,
布尔量
。
6、设 D0 为 0, D1 为 0, D2 为 1,
D3 为 0,
D0 D1 D2 D3 的运算结果是“ 0010”,
D3D2D1D0
的运算结果是“ 0100 ”。
7、构造体的描述方式包括三种,分别是
寄存器传输( RTL)描述方法或称数据流
;
构造
体的结构描述方式
和 构造体的行为描述方式
。
得分
二、 判断对错并给出判断依据( 20 分,每小题 5 分,判断对错 2 分,给出正确答案 3 分)
1、传统的系统硬件设计方法是采用 自上而下( top down )的 设计方法,利用硬件描述语言
( HDL)的硬件电路设计方法采用自下而上 (bottom up)的设计方法。 ( × )
传统的系统硬件设计方法是采用 自下而上( bottom up)的设计方法, 利用硬件描述语言 ( HDL)
的硬件电路设计方法采用 自上而下( top down )的设计方法
2、 VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体 ( √ )
3、一个 VHAL程序中仅能使用一个进程( process )语句。 ( × )
可以使用多个进程语句。
4、VHDL语言的预算操作包括了逻辑运算符、关系运算符、乘法运算符等,它们三者的优先
级是相同的。
( × )
逻辑运算符 关系运算符 乘法运算
得分
三、判断题( 10 分)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
以上库和程序包语句有无错误? 有 ,有的话请在原程序相应位置改正。
(2)
entity rom is
port(
addr: in std_logic_vector(0 to 3);
ce: in std_logic;
data:out std_logic_vector(7 downto 0) ;
);
end rom;
以上 port 语句有无错误?
有
,有的话请在原程序相应位置改正。
(4)
architecture behave of rom is
begin
process( ce,addr)
begin
if ce=0 then
(6)
case addr is
when 0011=
when 0000=
data
data
when 0100=
when 0001=
data
data
when 0101=
when 0010=
data
data
when 0110=
data
data
when 0111=
when 1100=
data
data
when 1000=
when 1101=
data
data
when 1001=
when 1110=
data
data
when 1010=
when others=
data
|
data
when 1011=
|
end case;
(8)
else
data
end if;
end process;
(10)
end behave;
以上 architecture 中有哪些错误?请在原
文档评论(0)