fpga实验报告整理版.pdfVIP

  1. 1、本文档共23页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
目录 实验一 分频器 实验三 ADC0809 模数转换实验 实验二 七段数码管扫描显示 实验四 双向移位寄存器 实验一 分频器 一、实验目的 1.学习分频器的设计,进一步了解、熟悉和掌握 FPGA 开发软件 Quartus II 的使用方法 2 .学习 Verilog HDL 和 VHDL 的编程方法 3.学会使用 Vector Wave 功能仿真 4 .掌握使用多种方法分配管脚 二、实验内容 编写一个分频器的 Verilog 代码和 VHDL 代码并仿真,编译下载验证 三、实验原理 在数字电路中, 时钟信号的分频是很常见的电路。分频器除了可以对时钟 信号频率做除以二的计算外,分频器同时很类似涟波计数器。 涟波计数器是计 数器的一种,它属于异步设计。因为触发器并非皆由同一个时钟信号同步操作, 所以它非常节省电路面积。 本实验要设一个带选择的分频时钟, SEL[1:0] 用于选择是几分频。分频器设 原理框图如图 1 所示: 图 1 分频器原理图 从原理图中可见,核心板的时钟是 50MHz ,通过 sel[1:0]选择分频数, 00: 不分频; 01:12.5M 分频; 10:25M 四分频; 11:50M 分频。采用 SW1 ‐ SW2 设 置分频值, SW3 复位。 LED1 为时钟的输出,通过调整 SW1 、SW2 ,可以得 到不同的闪烁频率。 引脚分配情况如表 1 所示 表 1 引脚分布情况 四、实验步骤 1.新建工程,取名为 frediv ,如下图 2 所示。 图 2 新建工程 2 .新建 VHDL 设计文件,选择“ File|New ” ,在 New 对话框中选择 Device Design Files 下的 VHDL File ,单击 OK ,完成新建设计文件。 3.在新建设计文件中输入 VHDL 程序。 4 .生成“ Symbol ”文件,新建“ Block Diagram/Schematic File ”文件, 在文件中添加刚刚生成的“ Symbol ”以及输入输出管脚,最后完整的系统顶层 模块图如图 3 所示。 图 3 顶层模块图 5.保存文件, 使用 qsf 或者 tcl 进行管脚分配(相应的文件在本工程里面都 可以找到)。 6 .对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并 更正错误,直至编译成功为止。 7.新建“ Vector Waveform File ”文件进行波形仿真。 8.下载完成后,观察实验结果。 五、 VHDL 程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity frediv is port ( clk :in std_logic; rst :in std_logic; sel :in std_logic_vector(1 downto

文档评论(0)

小仙女 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档