fpga课设——蜂鸣器音乐演奏.pdf

  1. 1、本文档共13页,其中可免费阅读4页,需付费100金币后方可阅读剩余内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 4、文档侵权举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验报告实验名称蜂鸣器音乐演奏实验日期姓名学号年数字信号处理实验报告一实验目的熟悉软件的使用熟悉实验开发系统的基本使用学习基本单元电路的设计应用进一步掌握的多层次设计方法学习音乐发生器的设计除了报警蜂鸣器还可以用来奏乐让它发出悦耳动听的声音加深理解蜂鸣器的控制电路原理二设计要求自动循环播放歌曲义勇军进行曲由数码管分别显示高中低音的音符三实验原理乐曲硬件电路产生音乐是和音乐频率和音乐的持续时间有关音符的持续时间需根据乐曲的速度和每个音符的节拍数来确定其简谱中音符和频率的关系如下该演奏电路演奏的乐曲

FPGA实 验 报 告 实验名称: 蜂鸣器音乐演奏 实验日期: 姓 名: 学 号: 2012 年数字信号处理实验报告 一、实验目的 1.熟悉 QuartusII 软件的使用。 2.熟悉 EDA实验开发系统的基本使用。 3.学习 VHDL基本单元电路的设计应用。进一步掌握 EDA 的多层次设计方法。 4.学习音乐发生器的设计。 除了报警,蜂鸣器还可以用来奏乐。让它

文档评论(0)

zxj4123 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档