- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
《FPGA应用技术及实践》电子教案
学习情境五 HDL基本语法及其应用
任务一
任务二
任务三
VHDL数据对象
(4课时)
双向和三态电路设计
(4课时)
IF语句和进程语句
(4课时)
学习情境
学习情境五 HDL基本语法及其应用
教学任务
任务二 双向和三态电路设计
学时
4
教学目标
专业能力:
学习VHDL中高阻态的表示方法
掌握双向和三态电路设计方法
方法能力:
信息查询、学习能力
制定工作计划及计划执行能力
工作中发现问题、解决问题的能力
社会能力:
集体协作能力
语言表达能力
教学内容
三态门设计
双向端口设计
三态总线电路设计
重点
VHDL中高阻态表示方法
难点
VHDL中高阻态表示方法
教 学 设 计
教学方法
演示法、案例教学法、现场观摩法
教学场所与条件
FPGA实训基地、多媒体教室
阶段
行为步骤(内容)
教学手段
时间
资讯
明确任务,收集VHDL中高阻态表示方法和双向/三态电路设计的相关资料
问题导向法
20
计划
制定学习计划和具体实施方法
小组讨论法、确定该项目工作计划
10
决策
分组展示初步方案,相互讨论、修改方案;
教师分析、答疑;根据学生、教师共同点评,修订、确定最终方案
集中讨论
讲授法
15
实施
对学生分组,学习VHDL中高阻态表示方法,完成双向和三态电路设计
教师演示讲解
学生自主完成
80
检查
检查任务的完成情况,分析不足
逆向检查
20
评价
汇报学习、工作心得;对任务完成情况,进行自我评价与教师评价
学生自评、小组互评、教师评价
15
学习情境五:HDL基本语法及其应用
任务二:双向和三态电路设计
教学方案
一、资讯
明确任务,收集VHDL中高阻态表示方法和双向/三态电路设计的相关资料。
问题引领:
学生通过查阅资料、网络、视频等途径获取以下信息:
1、VHDL中高阻态如何表示?
2、双向和三态电路的特点是什么?
3、如何利用软件来完成双向和三态电路的设计?
二、计划
分组讨论引导问题,确定双向和三态电路的设计思路,讨论设计重点、难点与实施方案。
三、决策
(1)分组展示初步方案,教师讲解相关知识点,相互讨论、修改方案;
(2)根据学生、教师的点评,修订、确定最终生产方案。
教师讲授:
审阅学生制定的初步表达方案,分析学生对基本知识掌握程度,以确定讲授新知识点的范围和重点。
项目一 三态门设计
8位三态控制门电路
例5-9 8位三态控制门VHDL程序
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY tri_s IS
port ( enable : IN STD_LOGIC;
datain : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dataout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );
END tri_s ;
ARCHITECTURE bhv OF tri_s IS
BEGIN
PROCESS(enable,datain)
BEGIN
IF enable = 1 THEN dataout = datain ;
ELSE dataout =ZZZZZZZZ ;
END IF ;
END PROCESS;
END bhv;
项目二 双向端口设计
例5-10
library ieee;
use ieee.std_logic_1164.all;
entity tri_state is
port (control : in std_logic;
in1: in std_logic_vector(7 downto 0);
q : inout std_logic_vector(7 downto 0);
x : out std_logic_vector(7 downto 0));
end tri_state;
architecture body_tri of tri_state is
begin
process(control,q,in1)
begin
if (control = 0) then x = q ;
else q = in1; x=ZZZZZZZZ ;
end if;
end process;
end body_tri;
其仿真时序如下:
例5-11
(以上部分同上例)
process(control,q,in1)
begin
if (control=0) then x = q ; q = ZZZZZZZZ;
else q = in1; x =ZZZZZZZZ;
end if;
end process;
end body_tri;
其仿真时序如下:
利用Synplify综合后的结果如下:
项目三 三态总线电路设计
例5-12
LIBRARY IEE
您可能关注的文档
- 《计算机导论》教学讲义 第7章 网站建设基础.doc
- 《声乐(第2版)》教学讲义 初级(下).doc
- 《网络组建与应用》教学讲义 《网络组建与应用》课程单元教学设计二.pdf
- 《Web前端开发》教学讲义 Web前端设计基础 项目七-1.docx
- 《Web前端开发》教学讲义 Web前端设计基础 项目五-1.docx
- 《数字程控交换技术与应用(第2版)》教学讲义 (2)授课计划.doc
- 《数控车削编程与加工技术(第2版)》教学讲义 第一篇 加工基础篇 11任务十一 《运用G32代码编程车内、外螺纹》.doc
- 《普通车床加工技术(第3版)》教学讲义 项目六 车削成形面 31、车削成形面方法.doc
- 《投资与理财》教学讲义 教案(项目一:单元四).doc
- 《普通车床加工技术(第3版)》教学讲义 项目五 车削圆锥面 26、圆锥面基本知识.doc
- 《FPGA应用技术及实践》教学讲义 情境三 HDL基本语法及其应用 任务二双向和三态电路设计.doc
- 《机械测量与测绘技术》教学讲义 项目一课题三(任务4).doc
- 《机械测量与测绘技术》教学讲义 项目二课题三(任务4).doc
- 《IllustratorCC平面设计实例教程》教学讲义 第一章教案 第二讲图形的编辑.docx
- 《计算机导论》教学讲义 第9章 电子商务.doc
- 《机械测量与测绘技术》教学讲义 项目二课题二(任务1).doc
- 《机械制图(第3版)》教学讲义 项目二 识图和绘图的一些基本知识 12、椭圆的画法.doc
- 《机械制图(第3版)》教学讲义 项目六 零件图 48、零件图的识读1.doc
- 《普通车床加工技术(第3版)》教学讲义 项目七 车削螺纹 42、螺纹的测量.doc
- 《FPGA应用技术及实践(第2版)》教学讲义 情境六 实用状态机设计 任务一 有限状态机设计简介.doc
原创力文档


文档评论(0)