CPLD初学者入门知识实用.pdfVIP

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
CPLD初学者入门知识 CPLD 按英语说是复杂可编程逻辑器件,对于一个硬件工程师来说,能应用 cpld 技术是一个十分强大 的能力。它的应用可在根本上解决许多数字电路设计的问题,能大幅度改变设计思想,大幅度提高工作效 率,甚至可以把以前的数十颗普通分立芯片的功能用一个芯片实现。它还有一个十分优秀的优点,在硬件 原理设计和布线的时候,不用考虑引脚的顺序,可从布线方便的角度安排需要的信号位置,使得布线难度 大幅度降低。由于布线难度下降,直接带来布线优化的好处。本文就 cpld 初学者面对的问题做一个简单描 述。希望对有志于学习 cpld 的硬件工程师有所启发。 我的老师大学毕业时,还没有 cpld 呢,可现在是他在指导我做 fpga 设计工作。 1:首先进行的准备是有针对性的学习 cpld 物理构造和资源 先不要着急去买开发板,不要着急写逻辑。了解硬件本身更加重要。 Cpld 是一个很大的范畴,初学者 会淹没在众多厂家、众多资料的海洋中。针对这个问题,笔者建议大家有所针对的进行知识获取,推荐大 家以 altera 的 max ii 系列 cpld 为突破口,首当其冲的就是 emp240 这颗芯片。把它吃透,则 max ii 系列 的其它都很好理解了,构造都一样,只是资源增加而已。其它厂家的也好用了,因为它们都是大同小异的。 之所以选择 emp240 是因为它应用已经很广泛, 市场上容易买到, 而且价格便宜, 批量买只有 8 元/ 片左右。 Emp240 顾名思义具有 240 个宏单元。简单理解就是有 240 个触发器,或者理解成 240 个 bit 的存储 单元。这样都好理解,以后对工程应用就容易估算容量了。对于工程来说,一般这么做,首先依据需求设 计引脚的数量,然后再在开发环境中进行逻辑编写、软仿真,这样,在硬件完全没有开始之前,就能把逻 辑部分全部设计完,只差物理验证一步了。仿真分 2 布,写逻辑时用 quartus 自带的仿真即可。逻辑写完 之后,最好用 model sim 。Model sim 是专门的仿真软件,功能十分强大,但对初学者来说,最好先不要介 入这个领域。先把 cpld 用上是最主要的。 好了,这样就把目标缩小到一个点上了。需要花功夫把 240 的相关资料吃透,把 quartus 用熟。到这 里,一分钱都不用花。时间用在 3 个点上: 1 、240 的构造和资源, 2 、quartus 软件使用, 3 、verilog hdl 语言学习。 设计中,如果你的逻辑需要 100 个单元,编译后会发现用掉 120 个单元,这是 cpld 内部布线需要。 作为实际应用,必须要留 20% 以上的富余量,比如编译结果指示用 220 个单元,这时就不要用 240 了,应 该使用 570 ,因为 240 的富余量不够。 一个小技巧,针对 EPM240 和 570 来说,常用的封装是 T100 的,就是 TQFP100 ,这 2 个芯片的封 装是向下兼容的, 因此,设计时, 即便决定使用 240 ,也要按 570 去画板子。 焊接是兼容的, 同时万一 240 不够了,可以改焊 570 。 #p# 向应用 cpld 走近一步,用开发板练习 #e#2 :向应用 cpld 走近一步,用开发板练习。 有了上面的基础,可以投资买开发板了,一般卖板子的都配套好软件和编程器了。针对 240 的开发板 可能很少,可能 570 的好买。注意买 cpld 的开发板,不要急于用 fpga 的,学会 cpld 之后,再用 fpga 就 容易多了。要一步一步来。 570 的开发板,带仿真器,带软件,带练习光盘,一整套下来估计 200-300 元 人民币。这个资金对你要学会的

文档评论(0)

hyj59071652 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档