- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
姓名 学号 学院
姓名 学号 学院 专业 座位号
( 密 封 线 内 不 答 题 )
……………………………………………………密………………………………………………封………………………………………线……………………………………线………………………………………
_____________ ________
…
华南理工大学期末考试
《数字系统设计》试卷A
注意事项:1. 考前请将密封线内各项信息填写清楚;
2. 所有答案请在答题纸上答题,试卷上答题无效;
3.考试形式:开(闭)卷;
4. 本试卷共 三 大题,满分100分, 考试时间120分钟。
题 号
一
二
三
总分
得 分
评卷人
一.简答题(共24分)
1.简述数字系统设计的四个层次,并说明每个层次主要完成的工作。(8分)2.简述以下几个关于时间的概念。(8分)
(1)建立时间
(2)保持时间
(3)传播延时
(4)时序电路最大工作频率估算公式
3. 写出CPLD和FPGA的英文或中文全称,分别写出CPLD和FPGA的内部主要结构。(8分)
二. 分析题(33分)
1.写出情况(1)下的程序的库文件和实体,并画出(1)和(2)条件下的对应波形。(10分)
1)当tmp为信号时,q1的波形;
2)当tmp为变量时,q2的波形。Clk和rst波形如下图所示。
architecture beh1 of sig_var is
signal tmp:std_logic_vector(3 downto 0);
begin
process(clk,rst,tmp)
begin
if rst=1 then
tmp=0000;
elsif clkevent and clk=1 then
tmp=tmp+1;
q1=tmp;
end if;
end process;
end beh1;
architecture beh2 of sig_var is
begin
process(clk,rst)
variable tmp:std_logic_vector(3 downto 0);
begin
if rst=1 then
tmp:=0000;
elsif clkevent and clk=1 then
tmp:=tmp+1;
q2=tmp;
end if;
end process;
end beh2;
2.有一个传输门,其惯性延时时间为4ns。根据下述赋值语句和给定的波形,画出对应Z1,Z2和Z3的波形图。(6分)
(1)Z1=Vi
(2)Z2 =Vi after 4 ns
(3)Z3 = TRANSPORT Vi AFTER 3 ns
3.根据给定的A的波形,分别画出(a)、(b)和(c)程序的S1、S2和S3对应的波形,其中S1~S3为integer.(9分)
IF A = 4 THEN IF A = 4 THEN IF A = 4 THEN
S1=1; S2=1; S3=1;
END IF; ELSIF A = 5 THEN ELSE
IF A = 5 THEN S2=2; S3=2;
S1=2; END IF; END IF;
END IF;
(a) (b) (c)
4.按要求转换下列程序。(8分)
(1)将下列程序替换为wait语句。(3分)
process (clk)
begin
if clk = ‘1’ and clk’event then
q = data;
end if;
end process;
(2)将下列程序替换为if语句,请不要改变条件的顺序性。(5分)
y = 11 when a(3)=1 else
10 when a(2)=1 else
01 when a(1)=1 else
00 when a(0)=1 else
00;
三、电路设计题 (43分)
1.简述仿真测试平台的基本架构(4分);试
您可能关注的文档
- 半导体物理学:太阳能电池的基本原理.pdf
- 电磁场理论与微波技术:第二章 时变电磁场.pdf
- 《数字通信原理》第1章 绪论.pptx
- 通信网理论基础:02-关于算法.pptx
- 钢结构基本原理第七章作业a.doc
- 混凝土结构基本原理习题第5章.doc
- 软件工程资料:06软件工程.doc
- 软件工程资料:2009华南理工大学软件工程考试试题-A卷.doc
- 实验报告模版4(频谱分析仪的使用).doc
- 实验报告模版6(阻抗匹配) .doc
- 2025至2030旅游枕头行业运营态势与投资前景调查研究报告.docx
- 2025至2030中国纺织印染助剂行业调研及市场前景预测评估报告.docx
- 2025至2030富马酸亚铁行业运营态势与投资前景调查研究报告.docx
- 2025至2030饮料制冷行业发展研究与产业战略规划分析评估报告.docx
- 2025年大学《希伯来语》专业题库—— 希伯来语方言的语音学和语法学研究.docx
- 2024北师大版八年级数学上册 第七章《证明》教案.pdf
- 固态电池电解质材料研发进展与产业化瓶颈突破策略.docx
- 水利安全知识培训平台课件.pptx
- 2024-2025学年河南省三门峡市灵宝市九年级(上)期末数学试卷+答案解析.pdf
- 2025至2030中国涤纶线行业产业运行态势及投资规划深度研究报告.docx
最近下载
- 2025-2026学年北京市中国人民大学附属中学高一上学期第一次月考数学试卷含详解.docx VIP
- 室外给水排水管道及设施安装-08SS523 建筑小区塑料排水检查井.pdf VIP
- ihi trx寿力离心空压机操作手册使用说明书.pdf
- 2024全新婴幼儿喂养ppt课件.pptx VIP
- 开幕式活动方案.docx VIP
- 冠脉介入治疗术后并发症护理及预防.doc VIP
- 中职语文拓展模块教学计划.docx VIP
- 中职《新时代劳动教育教程》课程标准.docx VIP
- 中国传媒大学-实用播音教程-普通话语音和播音发声(一)-课件.pptx VIP
- 人教版2025高中地理选择性必修一用“芯”解读青藏高原的时光变迁——自然环境的整体性 课件.pptx VIP
文档评论(0)