全加器实验报告.doc

  1. 1、本文档共2页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
南昌大学实验报告 学生姓名: 学 号: 专业班级: 实验类型:□ 验证 □ 综合 □ 设计 □ 创新 实验日期: 实验成绩: 实验一 全加器的设计 实验目的 以一位二进制全加器为例熟悉利用Quartus = 2 \* ROMAN II的原理图输入方法和文本输入法设计简单组合电路;学习多层次工程的设计方法。 实验要求 = 1 \* GB2 ⑴用文本方法实现半加器,再采用层次设计法用原理图输入完成全加器的设计; = 2 \* GB2 ⑵给出此项设计的仿真波形; = 3 \* GB2 ⑶用发光LED指示显示结果。 (三)实验步骤: 1.(1)建立工作库文件夹,建立半加器工程h_adder,输入半加器VHDL代码并存盘。 library ieee; use ieee.std_logic_1164.all; entity h_adder is port ( a, b :in std_logic; co,so :out std_logic); end entity h_adder; architecture fh1 of h_adder is begin so=not(a xor (not b));co=a and b; end architecture fh1; 编译后转换得到半加器的元件符号h_adder (2)在同一工作库文件夹下,建立全加器工程fa,采用层次设计法调用元件半加器h_adder和或门or2完成全加器的原理图文件。 2.选目标器件为CycloneII中的EP2C35F672C 3.建立全加器仿真波形文件,进行波形仿真。 由仿真波形不难看出,波形正确,满足真值表,只是有少许毛刺,但不影响结果。 4.引脚锁定,包装元件。 a─H8─key1 b─J8─key2 c─J9─key3 co─G13─LED1 sum─G15─LED2 (四)实验结果:按下电平检测结果观察是否正确。 依照真值表依次推上key1,key2,key3可得到8种情况,在用户LED1和LED2上可以看到实验结果与仿真波形和真值表相一致,故实验正确无误。 (五)实验心得: 试验应注意:下载芯片、引脚的绑定要和实验箱相匹配,波形仿真时信号的周期或间隔时间要大于50ns,因为仿真延时大约几十纳秒,end time大约10次信号周期。

文档评论(0)

132****1393 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档