EDA课设(步进电机).pdf

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

《EDA技术及应用》

课程设计报告

题目:简单步进电机控制系统设计

院(系):机电与自动化学院

专业班级:自动化1104

学生姓名:张凯

学号:20111184144

指导教师:段丽娜

2016年6月15日至2014年6月20日

华中科技大学武昌分校制

《EDA技术及应用》课程设计任务书

一、设计题目

简单步进电机控制系统设计

二、设计主要内容

(1)控制要求

本课程设计要求对三相四线制的步进电机进行控制,要求编写程序完成步进电机的

转速及专向的控制,能够实现正转的三档转速控制及反转的三档速度控制。

①根据控制要求,编写VHDL程序实现简单控制功能;

②在电机运转过程中,能够实现简单的速度控制,速度控制分三档:慢,快;转

速在程序中设定,由按键控制;此外还要求由一个按键实现转向控制;

③主控芯片由于功耗要求,其输出电流较小,驱动能力不够,因此必须设计驱动

电路驱动步进电机的旋转。

(2)设计要求

①设计FPGA的基础工作电路

②设计直流电机,驱动电路芯片及FPGA芯片的硬件电路连接

③设计输入控制部分硬件电路

④程序下载并进行硬件调试

(3)撰写说明书

①含系统硬件设计电路

②含软件设计(程序及必要的说明)

③含软件仿真及生成的顶层电路

④调试及调试结果,以及在调试过程中出现的问题及解决办法

三、原始资料

(1)三相四线制步进电机的驱动原理为当不同绕组一次轮流接通驱动电路时,会

产生磁场从而带动步进电机旋转一个步次,当改变绕组接通次序则会改变其转向,当

改变绕组接通频率则可改变其运行速度。

(2)本系统的主要控制目标是转速和转向,通过输入按键接通与否可以判定其控

制目标。因此控制转向需一个按键,而控制速度则需两个按键。

(3)EP3C10E144C8芯片只能输出3.3V电压,其驱动能力有限,因此必须有外接

电路为直流电机提供足够的驱动电流。

根据

CLK输入

信号驱

产生动驱动

步进电电机

控制信号电机路运行

的控

制数

四、要求的设计成果

在QuartusII软件中新建原理图文件,编译,仿真,锁定管脚并下载到目标芯片。

(1)根据设计要求,设计系统的原理框图,说明系统中各主要组成部分的功能;

(2)在QuartusII软件中,编写各个模块VHDL源程序;并上机调试通过;

(3)根据软件编好用于系统仿真的测试文件;

(4)编好用于硬件验证的管脚锁定文件;

(5)记录系统各个模块仿真结果;

(6)记录调试过程中出现的问题及解决办法。

注意:基本要求学生必须完成,学有余力的学生可以在基本要求完成的前提下,选

择其它方案完成设计。一般来说,生成的硬件电路越简单考查评价就越高。

五、进程安排

学时分

文档评论(0)

各类考试卷精编 + 关注
官方认证
内容提供者

各类考试卷、真题卷

认证主体社旗县兴中文具店(个体工商户)
IP属地河南
统一社会信用代码/组织机构代码
92411327MAD627N96D

1亿VIP精品文档

相关文档