实验消抖电路的顶层设计和移位寄存器的功能仿真.doc

实验消抖电路的顶层设计和移位寄存器的功能仿真.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

EDA实验四消抖电路的顶层设计和移位寄存器的功能仿真

一、实验目的:

了解消抖电路的原理与应用并用VHDL顶层代码实现;了解触发器的基本原理,并且掌握LPM元件定制;掌握基本时序电路VHDL编写;

了解移位寄存器的工作原理与应用;熟悉波形仿真基本方法;熟悉相关LPM元件定制;掌握VHDL元件例化方法;了解混合模块的工程设计方法。

二、实验内容:

实验内容分为两部分,一是消抖电路的设计与实现,其中底层用DFF:LPM_FF定制,顶层用VHDL结构式描述;此外一个内容是74194(移位寄存器)功能仿真,了解具体的仿真方法与环节。

三、实验方法:

实验方法:

采用基于FPGA进行数字逻辑电路设计的方法。

采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是AlteraEPF10K20TI144_4的FPGA实验箱。

实验环节:

1、编写源代码(或者绘制电路逻辑图)。打开QuartusII软件平台,点击File中的New建立一个文献。编写的文献名与实体名一致,点击File/Saveas以“.vhd”为扩展名存盘文献。

2、按照实验箱上FPGA的芯片名更改编程芯片的设立。操作是点击Assign/Device,选取芯片的类型。

3、编译与调试。拟定源代码文献为当前工程文献,点击Complier进行文献编译。编译结果有错误或警告,则将要调试修改直至文献编译成功。

4、波形仿真及验证。在编译成功后,点击Waveform开始设计波形。点击“insertthenode”,按照程序所述引脚,任意设立各输入节点的输入波形…点击保存按钮保存。

5、FPGA芯片编程及验证,应记录实验结果进行分析。

四、实验过程:

实验(一)消抖电路的设计与实现

a)运用LPM元件定制D触发器,具体环节如下:

(1)按照上次实验定制LPM的环节和方法进行定制,lpm_ff元件所示的宏功能选择对话框的左侧列表中选择InstalledPlug_Ins-Storage-lpm_ff项。

(2)在参数设立页面1中,输入data选用1位,clock为时钟信号,类型为D型。

(3)在参数设立页面2中添加异步清零和异步置1.

然后按finish完毕,然后找出定制文献夹中的VHDL文献,名称为lpm_D.vhd。至此D触发器定制完毕,然后设计消抖电路。

b)消抖电路的设计,环节如下:

(1)新建一个工程文献,工程名和文献名均为xiaodou,然后按照实验箱上FPGA的芯片名更改芯片的设立,选取芯片类型,建好工程。

设立如下图所示:

(2)工程建好后,然后将环节a中定制的lpm_D.vhd文献复制到xiaodou文献夹中,即是放置底层文献。

(3)新建文献,编写VHDL源代码,用结构式描述完毕消抖电路的顶层设计,然后保存编译,并进行波形仿真。VHDL代码如下:

libraryieee;

useieee.std_logic_1164.all;

entityxiaodouis

port(d_in,clk:inSTD_LOGIC;

clk_out:outSTd_LOGIC);

endxiaodou;

architectureaofxiaodouis

signalw,x:STD_LOGic;

componentlpm_Dis

port(clock:instd_logic;

data:instd_logic;

Q:outstd_logic);

endcomponent;

begin

dff1:lpm_Dportmap(clk,d_in,w);

dff2:lpm_Dportmap(clk,w,x);

clk_out=wand(notx);

enda;

C)、波形仿真

波形仿真过程。点击file-new,选择VectorWaveformFile,新建一个波形仿真文献,然后在空白处点击右键,选择“InsertNodeorBus”,出现一个对话框,进行添加节点,然后输入时钟激励信号,并进行参数设立,两个重要的参数:Endtime结束时间和Gridsize网格大小。点击Edit-Value-Clock,出现一个对话框设立时钟激励周期,相位以及其他参数,(注意d_in的时钟设立)点击OK,显示波形图如下:

接着保存波形文献,然后进行仿真。在仿真之前要先生成功能仿真表,一方面要先设立仿真模式。

然后点击菜单项Processing-GenerateFunctionSimulationNetlist,产生功能仿真所需要的网表,接着就能进行仿真操作了。

波形仿真。打开processing仿真工具,出现仿真设立对话框,然后开始进行功能仿真。

这是功能仿真即波形仿真的波形图。

d)、波形仿真图分析

由D

您可能关注的文档

文档评论(0)

159****8201 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档