四人表决器的设计(教案).pdfVIP

四人表决器的设计(教案).pdf

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

精选文档

四人表决器的设计

一实验目的

1、掌握四人表决器的设计步骤与方法。

2、掌握四人表决器的接线与测试方法。

二实验原理

组合逻辑电路是最常见的逻辑电路,其特点是在任何时刻电

路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路

原来所处的状态无关。电路图如下:

图1组合逻辑电路图

设计组合逻辑电路的一般步骤如下图所示:

图2组合逻辑电路设计流程图

首先根据设计任务的要求建立输入、输出变量,并列出真值

表,然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式,并

按实际选用逻辑门的类型修改逻辑表达式,根据简化后的逻辑表

达式,画出逻辑图,用标准器件构成逻辑电路,最后,用实验来

验证设计的正确性。

设计举例:用“与门”、“或门”设计一个四人表决器。每人

有一按键,如赞成就按键,表示为“1”;如果不赞成就不按键,

表示为“0”。表决结果用指示灯显示,如多数赞成则指示灯亮,

输出为“1”;反之则不亮,输出为“0”。

希望能帮到您

精选文档

1、根据设计要求,列出真值表。

A0000000011111111

B0000111100001111

C0011001100110011

D0101010101010101

Y0000000100010111

2、得出逻辑表达式。

Y=ABCDABCDABCDABCDABCD

3、化简逻辑表达式。

YABCBCDACDABD

4、根据逻辑表达式画出用“与门”、“或门”构成的逻辑电路图。

5、安装实际电路所采用的芯片

三实验内容和步骤

设计要求:用“与非”门设计一个四人表决电路。当四个输

入端中有三个或四个为“1”时,输出端才为“1”。

1、根据设计要求,列出真值表。

2、得出逻辑表达式,并演化成“与非”的形式。

希望能帮到您

精选文档

3、根据逻辑表达式画出用“与非门”构成的逻辑电路。

4、用实验检验逻辑功能,在实验箱的适当位置选定三个14P插

座,按照集成块定位标记插好74LS20芯片。连接逻辑电路图进

行验证。其中注意所用的芯片的14脚都要接+5V直流电,7脚接

GND端。

5、检查与真值表相不相符,如与真值表不符,应检查线路并排

除故障,继续验证真值表的正确性。

实验设备

1、EEL-08数子技术实验箱。

2、直流稳压电源。

3、74LS20芯片。

五实验注意事项

1、注意芯片的14管脚接+5V直流稳压电源,7管脚接地。

2、使用导线连接前,首先检查各导线是否导通良好。

3、实验结果如不正确,请检查各管脚连接是否准确,线路是否

连接准确。

六数据处理

1、四人表决器的设计体会。

..

.

希望能帮到您

文档评论(0)

叮当的泉水 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档