- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA数字频率计
1 绪论
1.1课程设计背景
随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,因此测频计常受到人们的青睐。目前许多高精度的数字频率计都采用单片机加上外部的高速计数器来实现,然而单片机的时钟频率不高导致测频速度比较慢,并且在这种设计中,由于PCB版的集成度不高,导致PCB板走线长,因此难以提高计数器的工作频率。为了克服这种缺点,大大提高测量精度和速度,我们可以设计一种可编程逻辑器件来实现数字频率计。EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术。其设计的灵活性使得EDA技术得以快速发展和广泛应用。以Max+PlusⅡ软件为设计平台,采用VHDL语言实现数字频率计的整体设计。伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与电子技术,微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。EDA的一个重要特征就是使用硬件描述语言(HDL)来完成的设计文件,VHDL语言是经IEEE确认的标准硬件语言,在电子设计领域受到了广泛的接受。
MAX+plusⅡ软件环境中仿真;
锻炼自己独立解决问题的能力,学会有效获取有用信息。
2 课程设计总体方案
方案一: 采用小规模数字集成电路制作被测信号经过放大整形变换为脉冲信号后加到主控门的输入端,时基信号经控制电路产生闸门信号送至主控门,只有在闸门信号采样期间内输入信号才通过主控门,若时基信号周期为T,进入计数器的输入脉冲数为N,则被信号的测频率其频率F=N/T, 方案二:采用单片机进行测频控制单片机技术比较成熟,功能也比较强大,被测信号经放大整形后送入测频电路,由单片机对测频电路的输出信号进行处理,得出相应的数据送至显示器显示。采用这种方案优点是呆以依赖地成熟的单片机技术、运算功能较强、软件编程灵活、自由度大、设计成本也较低,缺点是显而易见的,在传统的单片机设计系统中必须使用许多分立元件组成单片机的外围电路,整个系统显得十分复杂,并且单片机的频率不能做得很高,使得测量精度大大降低。方案三:采用现场可编程门阵列(FPGA)为控制核心采用现场可编程门阵列(FPGA)为控制核心,利用VHDL语言编程,下载烧制实现。将所有器件集成在一块芯片上,体积大大减小的同时还提高了稳定性,可实现大规模和超大规模的集成电路,测频测量精度高,测量频率范围大,而且编程灵活、调试方便。综合上述分析,方案三为本设计测量部分最佳选择方案。
3.2工作原理??众所周知,频率信号易于传输,抗干扰性强,可以获得较好的测量精度。因此,频率检测是电子测量领域最基本的测量之一。频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,即闸门时间为1 s。闸门时间可以根据需要取值,大于或小于1 s都可以。闸门时间越长,得到的频率值就越准确,但闸门时间越长,则每测一次频率的间隔就越长。闸门时间越短,测得的频率值刷新就越快,但测得的频率精度就受影响。一般取1 s作为闸门时间。数字频率计的关键组成部分包括测频控制信号发生器、计数器、锁存器、译码驱动电路和显示电路,其原理框图如图1所示。MAX+plusⅡ的图形文件输入法设计设计频率计的原理图3—1
图3—1
4 设计的步骤和过程
4.1 测频控制信号发生器测频控制信号发生器产生测量频率的控制时序,是设计频率计的关键。这里控制信号CLK取为1 Hz,2分频后就是一个脉宽为1 s的时钟信号FZXH,用来作为计数闸门信号。当FZXH为高电平时开始计数;在FZXH的下降沿,产生一个锁存信号SCXH,锁存数据后,还要在下次FZXH上升沿到来之前产生清零信号CLEAR,为下次计数做准备,CLEAR信号是上升沿有效。四选一数据选择器的程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity si_xuan_1 is
port(a,b,c1,c2,c3,c4:in std_logic;
y:out std_logic);
end si_xuan_1;
architecture behav of si_xuan_1 is
signal x:std_logic_vector(1 downt
您可能关注的文档
- 【精品】江西省上高县2MW稻壳气化发电示范项目立项建议书.doc
- 精品毕业论文--年产78万吨苯乙烯工艺设计.doc
- 【精品】招商管理工作手册(共23页).doc
- 【精品英语论文】--从文化价值观的角度谈中美商业广告.doc
- xxx热电厂_2炉脱硝SCR改造施工方案.doc
- xx发电有限责任公司二十五项反事故技术措施.doc
- XX股份有限公司企业信息化项目立项申请报告.doc
- XX股权投资基金合伙协议2012.3.24.doc
- 毕业(设计)论文--A brief analysis on the tragedy of sister carrie.doc
- 毕业(设计)论文--A Discussion of Puns in English.doc
- 毕业(设计)论文---Female Characters in Pride and Prejudice (《 傲慢与偏见》中女性意识的体现 ).doc
- 毕业(设计)论文----N300MW汽轮机组热力系统分析- TMCR.doc
- 毕业(设计)论文--On English Recitation teaching in middle school.doc
- 毕业(设计)论文--On the Infulence of Historical Development and Geography Environment on the Formation of English Idioms.doc
- 毕业(设计)论文--On the Male Characters in The Great Gatsby.doc
- 毕业(设计)论文--Significance of studies of stylistics and rhetoric.doc
- 毕业(设计)论文--Teacher-Student Interaction in English Class Teaching of Senior School.doc
- 毕业(设计)论文--The Feminine Consciousness Reflected in Pride and Prejudice.doc
- 毕业(设计)论文--The Analysis of Jane Eyre's charming personality Character(简爱的迷人性格浅析).doc
- 毕业(设计)论文--The Art of Symbolism in the Great Gatsby.doc
最近下载
- 河海大学水资源利用课程设计报告.doc VIP
- T_EQTA 002—2021_成套设备元器件安装及配线工艺.pdf VIP
- 工地桩基地基施工方案.docx VIP
- Q-CR 352-2016混凝土枕螺旋道钉锚固(OCR).pdf
- T_EQTA —002—2019_精密配电柜_标准.pdf VIP
- 朗文英语听说教程第2册全部答案.pdf VIP
- 2025广西公需科目培训考试答案(90分)——“一区两地一园一通道”建设;人工智能时代的机遇与挑战(1).pdf VIP
- 2025年中式面点师(初级)复审考试及考试题库含答案参考36.docx VIP
- Zippo_2004原版年册完整集合DE系列.pdf VIP
- 公路养护项目工程质量验收标准.docx VIP
原创力文档


文档评论(0)