- 1、本文档共138页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
项目二 3-8译码器设计 项目描述 项目分析 项目分析 项目分析 项目分析 while (a5) loop tmp:=tmp xor d(i); a:=a+1; end loop; y=tmp; ynot= not tmp; end process; end bhv; 任务一 VHDL语言程序输入与编译 5)next语句。next语句为跳出本次循环语句,用来在for loop和while loop循环语句中跳出本次循环,去执行下次循环并重新开始,它只用在loop语句的内部进行有条件或无条件的转向控制。其语句格式为: next [循环标号] [while条件表达式]; 其中各选项说明如下: 循环标号:循环标号用来表示结束本次循环后下一次循环的起始位置。 条件表达式:条件表达式是跳出本次循环的条件。 循环标号和条件表达式都是可选项,当二者省略时,next语句表示立即无条件跳出本次循环,并从loop语句的起始位置重新开始循环;当只有循环标号而无条件表达式时,next语句表示立即无条件跳出本次循环,从标号指定的位置开始执行程序;当只有条件表达式而无标号时,next语句根据条件表达式是否成立来判断跳出循环,条件表达式成立(为真)则跳出本次循环,条件表达式不成立(为假)则继续执行本次循环。 任务一 VHDL语言程序输入与编译 例2-14 采用外部信号控制6位奇偶校验电路源程序。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity jjy is port (d:in std_logic_vector(5 downto 0);--输入d是六位二进制数 control:in std_locic; y:out std_logic ynot:out std_logic); end jjy; architecture bhv of jjy is 任务一 VHDL语言程序输入与编译 4)信号与变量的区别。由于信号与变量有某些相似之处,用户常常混淆二者。通常,信号和变量的主要区别在以下几个方面: 变量赋值符号“:=”,信号赋值符号“=”,二者类型可以完全一致,也允许二者之间相互赋值,但需注意类型要匹配。 变量赋值具有立即性,无延迟;信号赋值有延迟,即使不作任何延时设置,也要经历一个特定的δ延时。 变量应用范围为进程、过程和函数,信号具有全局特征。 变量只保存当前值;信号即保存当前值,还有历史值等相关历史信息。 对于进程语句,进程只对信号敏感,对变量不敏感;在进程中,信号的赋值在进程结束时起作用,而变量赋值是立即起作用。 信号是硬件中连线的抽象描述。变量没有类似的对应关系,它们大多用于计算中。 任务一 VHDL语言程序输入与编译 (4)数据类型 在对数据对象(常量、变量和信号)定义时,每一个定义都需要确定数据类型。VHDL对数据类型有着很强的结束性,位长相同的同类数据类型才可以计算,否则EDA工具在编译、综合过程中会报告类型错误。VHDL中数据类型有很多种,按照数据类型的性质可以分为四大类: 1)标量类型(scalar type)。其是最基本的数据类型,通常用于描述单个数值数据对象,即表示某个数值的数据类型。标量类型包括:实数类型、整数类型、枚举类型和物理类型。 2)复合类型(composite type)。其是由一个或几个基本数据类型复合而成的数据类型,如可由标量类型复合而成。复合类型主要有数组类型和记录类型。 3)存取类型(access type)。即指针类型(使用比较少),在VHDL中为相关的数据类型的数据对象提供存取方式。 4)文件类型(file type)。其是用于多值存取的数据类型。 任务一 VHDL语言程序输入与编译 VHDL中的数据类型按照数据来源可分为VHDL语言预定义的标准数据类型和用户自定义的数据类型两大类。VHDL语言预定义的标准数据类型是VHDL最基本最常用的数据类型,该数据类型已在VHDL标准程序包standard、std_logic_1164及其他的标准程序包中进行了定义,使用时无需说明就可以直接调用。用户自定义的数据类型必须在VHDL中先声明再使用。具体细分如表2-7所示。 任务一 VHDL语言程序输入与编译 表2-7 数据类型对比表(根据数据来源) 枚举类型、数组类型、记录类型、存取类型、文件类型、子类型 整数类型、实数类型、位类型、位矢量类型、字符类型、字符串类型、布尔
您可能关注的文档
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第七章 032.DOC
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第七章 35.DOC
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第七章 例7 4.doc
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第七章 例7 5.doc
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第七章 图7 9.doc
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第七章 图7 10.doc
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第七章 图7 13.doc
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第七章 图7 28.doc
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第五章 001.DOC
- 可编程控制器原理及应用 教学课件 作者 田淑珍链接文件夹第五章 002.DOC
- 可编程逻辑器件设计项目教程 教学课件 作者 高锐 项目六.ppt
- 可编程逻辑器件设计项目教程 教学课件 作者 高锐 项目三.ppt
- 可编程逻辑器件设计项目教程 教学课件 作者 高锐 项目四.ppt
- 可编程逻辑器件设计项目教程 教学课件 作者 高锐 项目五.ppt
- 可编程逻辑器件设计项目教程 教学课件 作者 高锐 项目一.ppt
- 可编程序控制器及编程实例 教学课件 作者 王全友 夏国宏 主编 第1章 三菱FX2N可编程序控制器.ppt
- 可编程序控制器及编程实例 教学课件 作者 王全友 夏国宏 主编 第2章 FX2N的指令系统.ppt
- 可编程序控制器及编程实例 教学课件 作者 王全友 夏国宏 主编 第3章 FX2N的步进梯形图.ppt
- 可编程序控制器及编程实例 教学课件 作者 王全友 夏国宏 主编 第4章 FX2N应用指令的基本规则.ppt
- 可编程序控制器及编程实例 教学课件 作者 王全友 夏国宏 主编 第5章 FX2N基本功能的补充.ppt
最近下载
- 英文版公司章程ARTICLES OF ASSOCIATION OF.docx VIP
- [中级注册安全工程师考试密押资料]安全生产事故案例分析模拟73.docx VIP
- [中级注册安全工程师考试密押资料]安全生产事故案例分析模拟27.docx VIP
- [中级注册安全工程师考试密押资料]安全生产事故案例分析模拟139.docx VIP
- [中级注册安全工程师考试密押资料]安全生产管理模拟194.docx VIP
- 某光伏项目危险源辨识与风险评价清单.pdf VIP
- [中级注册安全工程师考试密押资料]安全生产管理模拟197.pdf VIP
- 品管圈PDCA优秀案例-提高保护性约束使用的规范率医院品质管理成果汇报.pptx
- [中级注册安全工程师考试密押资料]其他安全(不包括消防安全)技术模拟7.docx VIP
- (优质医学)汇报用提高约束具规范使用率.ppt
文档评论(0)