二输入端与非门设计.ppt

  1. 1、本文档共29页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
二输入端与非门设计.ppt

基本门电路的设计 基本门电路的设计 基本门电路主要用来实现基本的输入/输出之间的逻辑关系,包括与门、非门、或门、与非门、或非门、异或门、同或门等,下面以2输入端与非门为例讲解基本门电路的设计。 1.实验原理 表5-1 2输入端与非门的真值表 2输入端与非门是组合逻辑电路中的基本逻辑器件,有2个输入端A、B和1个输出端C。其真值表如表5-1所示。2输入端与非门应具备的脚位: 输入端:A、B; 输出端:C。 2.原理图输入 与非门原理图输入法的操作步骤介绍如下。 (1) 建立新文件:选取窗口菜单File→New,出现对话框,选择Graphic Editor file选项,单击OK按钮,进入图形编辑画面。 (2) 保存:选取窗口菜单File→Save,出现对话框,键入文件名nand2.gdf,单击OK按钮。 (3) 指定项目名称,要求与文件名相同:选取窗口菜单File→Project→Name,键入文件名nand2,单击OK按钮。 (4) 确定对象的输入位置:在图形窗口内单击鼠标左键。 (5) 引入逻辑门:选取窗口菜单Symbol→Enter Symbol,在\Maxplus2\max2lib\prim处双击,在Symbol File菜单中选取NAND2逻辑门,单击OK按钮。 (6) 引入输入和输出脚:按步骤(5)选出2个输入脚和1个输出脚。 (7) 更改输入和输出脚的脚位名称:在PIN_NAME处双击鼠标左键,进行更名,输入脚为A、B,输出脚为C。 (8) 连接:将A、B脚连接到与非门的输入端,C脚连接到与非门的输出端,如图5-1所示。 (9) 选择实际编程器件型号:选取窗口菜单Assign→Device, 出现对话框,选择ACEX1K系列的EP1K30TC144-1。 (10) 保存并查错:选取窗口菜单File→Project→SaveCheck,即可针对电路文件进行检查。 (11) 修改错误:针对Massage-Compiler窗口所提供的信息修改电路设计,直到没有错误为止。 (12) 保存并编译:选取窗口菜单File→Project→Save Compile,即可进行编译,产生nand2.sof烧写文件。 (13) 创建电路符号:选取窗口菜单File→Create Default Symbol,可以产生nand2.sym文件,代表现在所设计的电路符号。选取File→Edit Symbol,进入Symbol Edit画面,2输入端与非门的电路符号如图5-2所示。 (14) 创建电路包含文件:选取窗口菜单File→Create Default Include File,产生用来代表目前所设计电路的nand2.inc文件,供其他VHDL编译时使用,如图5-3所示。 (15) 时间分析:选取窗口菜单Utilities→Analyze Timing,再选取窗口菜单Analysis→Delay Matrix,可以产生如图5-4所示的时间分析结果。 3.文本输入 (1) 建立新文件:选取窗口菜单File→New,出现对话框,选择Text Editor file选项,单击OK按钮,进入文本编辑画面。 (2) 保存:选取窗口菜单File→Save,出现对话框,键入文件名nand2.text,单击OK按钮。 (3) 指定项目名称,要求与文件名相同:选取窗口菜单File→Project→Name, 键入文件名nand2,单击OK按钮。 (4) 选择实际编程器件型号:选取窗口菜单Assign→Device,出现对话框,选择ACEX1K系列的EP1K30TC144-1。 (5) 输入VHDL源程序: ENTITY nand2 IS PORT(A, B : IN Bit; C : OUT Bit )

文档评论(0)

000 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档