基于modelsim和debussy的批处理仿真.pdfVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于modelsim和debussy的批处理仿真

modelsim debussy modelsim debussy 基于 和 的批处理仿真 mmooddeellssiimm ddeebbuussssyy 本文将会叙述采用批处理的方法进行modelsim 结合debussy 仿真调试。目的 在于提高仿真效率。该流程只适用于 FPGA 的前仿真,使用verilog 文件以及测 试文件。 如此操作有以下几个优点: 1、虽然开始的时候需要稍作准备,但等你完成了一次仿真后,以后只需要略微 修改一下就可以直接应用到其他工程里边去。相对于采用用modelsim 的界面 操作,省去了很多例如创建工程、添加仿真文件、进行编译、进行仿真等等 节省了宝贵的工作时间。当然可以提高工作效率。 2、文件管理非常清楚。所有的verilog 文件都放在一个文件夹,所有仿真需要的 文件以及仿真结果都放在另外一个文件里边,而且仿真后的文件也相对于使 用modelsim 界面操作仿真结果要少。 3、还有就是,因为没有界面操作,仿真速度会快很多,特别是采用modelsim 进 行稍微大的仿真的时候,这时候采用这种方法的优势就更加明显了。 以下是进行仿真前的准备工作 第一步:安装modelsim、debussy软件以及编译相应的仿真库,如何安装以及破解,网 络上有很多的资料说明,在这里就不详细说了。对于仿真库的编译,也有很多的方法,可以 参考文章《modelsim 添加仿真库方法》byCraftor。许多工程师也在自己的博客写过或转载 过相似的库编译的方法。 这些都是我进行批处理仿真前已经做好了。 我的软件都是装在D盘 分别是: D:\modeltech_6.5e 和 D:\Novas\Debussy 第二步:创建do文件。 如下(行号不需要): 1、vlib work 2、vlog-f vfile.f-incr 3、vsim -Lxilinxcorelib_ver -Lunisims_ver -Lsimprims_ver -Luni9000_ver -Lunisims_ver -pli novas_fli.dll -libworktuner_iic_tb 4、run40ms 5、exit 然后保存为sim.do 解析:1表示创建仿真工作库,也就是将你编译文件之后的文件存在这个work文件夹下。 2表示编译vfile.f文件里边的文件,也就是将你要编译的的文件以及仿真文件添加到 该文件里边去,下文会有说明。 3 调用仿真库,因为我是用了xilinx 的器件,所以要添加相应的ip 仿真库,在编写 testbench的时候,我们通过加入函数产生fsdb文件,例如: initial begin $fsdbDumpfile(top.fsdb); $fsdbDumpvars(0,top) End 说明:这里边有两个函数fsdbDumpfile 以及 fsdbDumpvars 所以,我们还需要一些操作:也就是将debussy 文件里边的novas_fli.dll 文件拷贝到modelsim 的win32 目录下例如我的目录是:D:\modeltech_6.5e\win32 然后在modelsim 目录下的ini 文件修改一下,也就是将veriuser的路径值指向该文件 Veriuser=D:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT 在3里边的-pli novas_fli.dll 就是因为有这两个函数,需要debussy来解析一下(具体机理也 没搞明白),如果不添加这句话就会出现警告说,这个函数没有定义,也就是无法产生fsdb 文件。 还有就是,在最后要添加上自己testbench 的module 名。表示这个是顶层。 4表示仿真运行40ms,其实这个仿真时间也可以在testbench 里边表示。 小结:因为我们需要利用modelsim 来进行仿真,然后产生fsdb 文件,利用debussy进行观 察仿真结果,所以,在这一步我们需要较繁琐的操作。 总的来说,也就是将debussy 的一个dll 文件拷贝到modelsim 的目录下,然后修改一下ini 里边的路径,对应的文件夹分别是: Modelsim D:\modeltech_6.5e\win32 Debussy D:\Novas\Debussy\sh

文档评论(0)

tianma2015 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档