一种基于FPGA的高斯随机数生成器的设计与实现.pdf

一种基于FPGA的高斯随机数生成器的设计与实现.pdf

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
一种基于FPGA的高斯随机数生成器的设计与实现.pdf

第34卷第1期 计 算 机 学 报 v01.34No.1 201 1年1月 CHINESEJ()URNAI。OFC()MPUTERS Jan.2011 一种基于FPGA的高斯随机数生成器的设计与实现 谷晓忱 张民选 (国防科学技术大学计算机学院PDL重点实验室长沙410073) 摘要 基于FPGA的高斯随机数乍成器需要满足叮重构、高吞吐牢和高硬件资源使用效率等要求.文中提出了 一种易于硬件实现的状态转换逻辑结构,并给fi{r均匀分印随机数周期和输出位宽的配置方法和配置原则.文中 详细分析了应用“最值分析法”和“静态误筹分析法”求解Box Muller算法实现过程中各操作数化宽的具体过程.硬 件实现结果在XilinxVertex 5上的工作速度为491MHz,吞吐牢为9.82×108samples/second。硬件资源使朋效率 为2.085×106 检测,文中给出了结果. 关键词现场 町编程门阵列;硬件加速器;高斯随机数产生;均匀分布随机数产生I可重构计算 中图法分类号 TP302DOI号:10.3724/SP.J.1016.2011.00165 and ofaFPGABasedGaussianRandomNumberGenerator DesignImplementation GUXiao—ChenZHANGMin—Xuan (PDL·School t National 41 0073) ofComputer UniversityofDefemeTechnology.Changsha AbstractA FPGAbasedGaussianRandomNumberGeneratorhastobe for good reconfigurable different and a this fast slice.In applications,whilerunning acquiringhighthroughputper paper, ahardwarestructureoftheTransformModuleis and proposed.Theconfigurationprocessprinci— ofthe and bit—widthofuniformrandomnumbersareintroduced.Forthe pie periodoutput gener— of ationGaussianrandomnumbers,methodsforbit—width ofthefixed optimization pointoper— andsareintroducedin resultsonXilinxVertex5 FPGAshowthatthe details.Implementation hardwarecould a as as491MHz,whilethe proposed designacquirefrequencyhigh

文档评论(0)

文档精品 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:6203200221000001

1亿VIP精品文档

相关文档