《DC流程》.pdf

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
《DC流程》.pdf

DC 逻辑综合使用流程逻辑综合使用流程 逻辑综合使用流程逻辑综合使用流程 电子科技大学vlsi 设计中心 1、、 启动软件启动软件:: 、、启动软件启动软件:: 新开一个terminal 窗口,输入命令 :design_vision,回车即可开启图形界面,进 入图形界面后可通过菜单、对话框等来实现DC 的功能,相关的命令操作同样可 以使用。 2 、、 指定相关库文件指定相关库文件及路径及路径 、、指定相关库文件指定相关库文件及及路径路径 “File Setup ”打开下图所示对话框 Search_path 指定了搜索路径,点击右侧按钮进入如图所示对话框点击 add 添加 库文件所在路径。 Target_library 为逻辑综合的目标库,由代工厂提供的 * .db 文件,用相似的方法 添加所需库文件。 Link_library 是链接库,一般和目标库相同 注:“*” 这一项要保留,否则链接时会出错,该项指示DC 在链接时首先搜索 内存中的内容。 Symble_library 为指定的符号库,一般为 *.sdb 文件,与单元的库文件对应。 3 、、 设计读入设计读入 、、设计读入设计读入 “File Read ”读入设计文件,用此方式读入时在此处不用指定顶层文件,但读 入后应马上指明设计的顶层名。 通过左侧的窗口可以观察设计的层次 4、链接、链接 、、链接链接 “File Link Design ”在弹出对话框中点击

文档评论(0)

wgvi + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档