本章内容课件.ppt

  1. 1、本文档共60页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
本章内容课件.ppt

8.1 D/A转换器及其与单片机接口 8.1.1 D/A转换器的原理及主要技术指标 一、D/A转换器的基本原理及分类 T型电阻网络: 二、D/A转换器的主要性能指标 建立时间:输入的数字量满刻度变化时,输出信号达到满刻度值的±1/2LSB所需的时间。 电流输出型建立时间短 电压输出型建立时间决定于运放的响应时间。 8.1.2 DAC0832芯片及其与单片机接口 一、DAC0832内部结构及引脚 二、DAC0832与80C51单片机的接口 1、单缓冲工作方式 适于一路输出,或几路输出不要求同步的系统。 双极性输出 :(偏移码:补码符号位取反) 2、双缓冲工作方式 多路D/A转换输出,且要求同步输出时。 完成两路D/A同步输出的程序: MOV  DPTR,#0DFFFH ;指向0832(1)输入锁存器 MOV A,#data1 MOVX @DPTR,A ;data1送入0832(1)输入锁存器 MOV DPTR,#0BFFFH ;指向DAC0832(2)输入锁存器 MOV A,#data2 MOVX @DPTR,A ;data2送入0832(2)输入锁存器 MOV DPTR,#7FFFH ;同时启动0832 (1)、0832(2) MOVX @DPTR,A ;完成D/A转换输出 8.2 A/D转换器及其与单片机接口 一、逐次逼近式ADC的转换原理 二、双积分式ADC的转换原理 三、A/D转换器的主要技术指标 图示:理想特性(直线)与阶梯状特性间的最大偏差--量化误差: 偏移误差:指输入为零时,输出信号不为零的值(又称零值误差)。 主要性能 分辨率:8位 精度:小于±1LSB 单+5V供电,模拟输入电压范围为0~+5V 8路输入模拟开关 可锁存三态输出,输出与TTL电平兼容 功耗:15mW 不必进行零点和满度调整 转换时间:时钟640KHz时,约为100μS。(时钟频率范围:10~1280KHz) 一、ADC0809的内部结构及引脚功能 二、ADC0809与单片机的接口 1、查询方式 例:对8路模拟信号轮流采样一次,并依次把转换结果存储到片内RAM以DATA为起始地址的连续单元中。 MAIN:MOV R1,#DATA ;置数据区首地址 MOV DPTR,#7FF8H ;指向0通道 MOV R7,#08H   ;置通道数 LOOP:MOVX @DPTR,A   ;启动A/D转换 HER:JB P3.3,HER ;查询A/D转换结束 MOVX A,@DPTR   ;读取A/D转换结果 MOV @R1,A    ;存储数据 INC DPTR     ;指向下一个通道 INC R1      ;修改数据区指针 DJNZ R7,LOOP   ;8个通道转换完否? … … 2、中断方式 读取IN0通道的模拟量转换结果,送至片内RAM以DATA为首地址的连续单元中。 ORG 0013H    ;中断服务程序入口 AJMP PINT1          ORG 2000H MAIN:MOV R1, #DATA ;置数据区首地址 SETB IT1    ;为边沿触发方式 SETB EA      ;开中断 SETB EX1     ;允许中断 MOV DPTR,#7FF8H ;指向IN0通道 MOVX @DPTR,A   ;启动A/D转换 LOOP:NOP         ;等待中断 AJMP LOOP ORG 2100H  ;中断服务程序入口 PINT1:PUSH PSW    ;保护现场 PUSH ACC PUSH DPL PUSH DPH MOV DPTR, #7FF8H MOVX A,@DPTR  ;读取转换后数据 MOV @R1,A   ;数据存入以RAM INC R1     ;修改数据区指针 MOVX @DPTR,A  ;再次启动A/D转换 POP DPH     ;恢复现场 POP DPL POP ACC

文档评论(0)

风凰传奇 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档