出租车计价器VHD程序与仿真.doc

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
出租车计价器VHD程序与仿真

出租车计价器VHDL程序与仿真。 一、功能:出租车计价器。 二.源程序及各模块和主要语句的功能 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity taxi is port ( clk_240 :in std_logic; --频率为240Hz的时钟 start :in std_logic; --计价使能信号 stop:in std_logic; --等待信号 fin:in std_logic; --公里脉冲信号 cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据 km1,km0:out std_logic_vector(3 downto 0); --公里数据 min1,min0: out std_logic_vector(3 downto 0)); --等待时间 end taxi; architecture behav of taxi is signal f_15,f_16,f_1:std_logic; --频率为15Hz,16Hz,1Hz的信号 signal q_15:integer range 0 to 15; --分频器 signal q_16:integer range 0 to 14; --分频器 signal q_1:integer range 0 to 239; --分频器 signal w:integer range 0 to 59; --秒计数器 signal c3,c2,c1,c0:std_logic_vector(3 downto 0); --制费用计数器 signal k1,k0:std_logic_vector(3 downto 0); --公里计数器 signal m1:std_logic_vector(2 downto 0); --分的十位计数器 signal m0:std_logic_vector(3 downto 0); --分的个位计数器 signal en1,en0,f:std_logic; --使能信号 begin feipin:process(clk_240,start) begin if clk_240event and clk_240=1 then if start=0 then q_15=0;q_16=0;f_15=0;f_16=0;f_1=0;f=0; else if q_15=15 then q_15=0;f_15=1; --此IF语句得到频率为15Hz的信号 else q_15=q_15+1;f_15=0; end if; if q_16=14 then q_16=0;f_16=1; --此IF语句得到频率为16Hz的信号 else q_16=q_16+1;f_16=0; end if; if q_1=239 then q_1=0;f_1=1; --此IF语句得到频率为1Hz的信号 else q_1=q_1+1;f_1=0; end if; if en1=1 then f=f_15; --此IF语句得到计费脉冲f elsif en0=1 then f=f_16; else f=0;

文档评论(0)

aining + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档