基于FPGA的VGA彩色信號显示控制器设计.doc

基于FPGA的VGA彩色信號显示控制器设计.doc

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于FPGA的VGA彩色信號显示控制器设计

广西科技大学 电子自动化 课程设计 说 明 书 设计题目 VGA彩色信号显示控制器设计 系 别 电控系 专业班级 电子092班 学生姓名 郑建 学 号 200900303057 指导教师 覃永新 日 期 2012/6/13 设计任务 输入量为模式选择键MD,时钟信号CLK,选用试验箱中的50MHZ。输出量为VGA显示器的场同步信号VS,行同步信号HS,以及彩色信号R、G、B。 根据VGA工业标准,产生正确的行、场扫描信号。 没按动一次模式选择键MD,输出全屏改变一种颜色,循环显示颜色分别为黑、蓝、红、品红、绿、青、黄、白。 将顶层文件下载到试验箱并验证结果。 设计思路及总体方案 根据书中给出的VGA显示控制的行业标准,需要25MHZ的输入脉冲,根据此脉冲控制行消隐信号HS的脉宽,行信号图像显示的脉宽,场消隐信号VS的脉宽,场信号图像显示的脉宽。 使用实验板上的50MHZ进行2分频得到25MHZ的脉冲,以次脉冲为输入脉冲,建立两个计数器,一个计数值hcnt为800,用来计算行同步信号的周期,另一个计数值vcnt为524,用来计算场同步信号的周期。根据计数值确定行,场消隐信号及图像显示信号的脉宽。 行消隐时间为hcnt的前96个周期,之后可归算为图像显示时间;场消隐时间书中为vcnt的前2周期,但根据实验测试,消隐时间需vcnt至少大于前10个周期图像才能显示完整。 三、程序设计 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity VGA_ds is port ( clk50,md :in std_logic; hs,vs,r,g,b :out std_logic); end VGA_ds; architecture hen of VGA_ds is signal clk25,hs1,vs1 :std_logic; signal rgbp :std_logic_vector(2 downto 0); --图像数据暂存 signal mmd :std_logic_vector(2 downto 0); signal hcnt,vcnt :std_logic_vector(9 downto 0); begin hs =hs1; vs =vs1; r =rgbp(2) and hs1 and vs1; g =rgbp(1) and hs1 and vs1; b =rgbp(0) and hs1 and vs1; process(md) begin if mdevent and md =1 then if mmd =111 then mmd =000; else mmd =mmd+1; end if; end if; end process; process(mmd) begin if mmd =000 then rgbp =000; elsif mmd =001 then rgbp =001; elsif mmd =010 then rgbp =010; elsif mmd =011 then rgbp =011; elsif mmd =100 then rgbp =100; elsif mmd =101 then rgbp =101; elsif mmd =110 then rgbp =110; elsif mmd =111 then rgbp =111; end if; end process; process(clk50) --50MHZ 2分频得25MHZ begin if clk50event and clk50 =1 then clk25 =not clk25; end if; end process; process(clk25) --行同步信号 begin if clk25event and clk25 =1 then if hcnt 800 then hcnt =hcnt+1; else hcnt =(others =0); --一个行周期完成 end if; end if; end process; process(clk25) --场同步信号 begin

您可能关注的文档

文档评论(0)

fglgf11gf21gI + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档