第4章VHDL语言基础(精编).ppt

  1. 1、本文档共106页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第4章 VHDL语言基础 常见的HDL有ABEL、AHDL、VHDL、Verilog HDL和System C等。 HDL IEEE工业标准硬件描述语言VHDL、Verilog 超高速集成电路硬件描述语言VHDL,美国国防部研究计划 第4章 VHDL语言基础 覆盖面广,描述能力强,多层次硬件描述语言 VHDL有良好的可读性,既是程序又是文件 VHDL的移植性很强 VHDL生命周期长,硬件描述与器件工艺无关 代码量大,书写烦琐 第4章 VHDL语言基础 基本组成 语言要素 4.1 基本结构 参数部分 实体部分 结构体 【例4-1 】 LIBRARY ieee; --库程序包调用 USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ? ENTITY cntm16 IS --实体cntm16 GENERIC(cntwidth:integer:=4); PORT ( ci :IN std_logic; nreset :IN std_logic; clk :IN std_logic; co :OUT std_logic; qcnt :BUFFER std_logic_vector(cntwidth-1 DOWNTO 0) ); END cntm16; ARCHITECTURE behave OF cntm16 IS -- 结构体 BEGIN co=’1’WHEN (qcnt=”1111” AND ci=’1’) ELSE ‘0’; PROCESS (clk,nreset) BEGIN IF (nreset=’0’) THEN qcnt=”0000” ELSIF(clk’event AND clk=’1’) THEN IF(ci=’1’) THEN qcnt=qcnt+1; END IF; END IF; END PROCESS; END behave; 模块结构 参数部分:库(LIBRARY)、程序包(USE); 实体(ENTITY):[类属]、端口(PORT); 结构体(ARCHITECTURE):赋值、进程 (PROCESS-IF)。 VHDL程序设计约定 语句结构描述中方括号“[ ]”内的内容为可选内容。 程序文字的大小写是不加区分的。 程序中的注释使用双横线“--”。 建议书写使用层次缩进格式。 建议各个源程序文件的命名均与其实体名一致。 库说明 存放已经编译的实体、结构体、程序包和配置,用于设计共享,是编程资源。 library 库名; 实体(ENTITY) 实体是一个设计实体的表层设计单元,其功能是对这个设计实体与外部电路进行接口描述。它规定了设计单元的输入/输出接口信号或引脚,是设计实体经封装后对外的一个通信界面。类似于原理图中的一个部件符号。 实体格式 ENTITY 实体名 IS [GENERIC(常数名:数据类型[:设定值])]; --类属表 PORT --端口表 (端口名:方向(端口模式) 端口类型; : ; 端口名:方向(端口模式) 端口类型 ); END 实体名;ENTITY 实体名 IS GENERIC类属参数 定义端口界面常数(端口宽度、器件延迟时间)。类属参量的值可由设计实体的外部提供。 书写格式: GENERIC([常数名:数据类型[:设定值] {;常数名:数据类型[:=设定值 ]}); 例4-1 GENERIC (cntwidth : integer:=4); PORT端口 用于

文档评论(0)

zilaiye + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档