Simulink的使用.doc

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Simulink的使用 Matlab的Simulink是一个用来对动态系统进行建模、仿真和分析的软件包。它使得Matlab的功能得到进一步的扩展,这种扩展表现在三个方面: (1)实现了可视化建模,在Windows环境下,用户可以通过简单的鼠标操作建立直观的系统模型,进行分析仿真。 (2)实现了多种环境间的文件共享与数据交换,甚至能够与硬件实现实时信息交换。 (3)把理论研究与工程实现有机地结合在一起。 Simulink支持连续、离散及混合的线性系统和非线性系统,并且支持多采样率系统。其可视化建模体现在为用户提供了用方框图进行系统建模的图形接口. Simulink具有一个较为完整的模型库,包括源节点(Source)、阱节点(Sink)、线性环节(Linear)、非线性环节(Nonlinear)、连线与接口(Connections)和其他环节(Extra),用户还可以根据需要定制和创建自己的模块。 Simulink模型具有分层的等级结构,用户可以采用由上到下或从下到上的方式构建系统模型。可以从全局的角度来考察系统,然后用鼠标双击其中的子系统模块,来查看下一级的内容,依此类推,可以看到系统模型的全部细节。这种方式帮助用户洞察整个系统模型的体系结构及其各个部分的相互关系。 定义完一个模型后,用户可以通过Simulink的菜单或者Matlab命令对它进行仿真。可以在仿真的同时显示仿真结果,非常实用。除此之外,还可以在用户改变参数之后迅速观察到系统中发生的变化。Simulink的仿真结果可以输入到Matlab工作空间,进行事后处理或者可视化输出。 Simulink的实时工作间(Real-Time Workshop,以后简称RTW)直接从Simulink的系统框图自动生成C语言代码,这样一来,就允许在更广的计算机平台(包括实时硬件)上执行连续、时间离散及混合系统模型。Simulink RTW的Ada扩展的作用是直接从Simulink的系统框图自动生成Ada浯言代码。 1. 启动Simulink 启动Simulink通常有三种方式: 1)直接从Matlab指令窗口选取菜单File| New| Modal命令,Matlab将会打开Simulink库浏览器和名为untitled的模型窗口。 2)在Matlab命令窗口中键人Simulink命令,Matlab将会打开Simulink库浏览器。 3)点击Matlab命令窗口工具条的图标,启动Simulink库浏览器。 由启动Simulink的三种方式,要新建一个模型文件,至少可以采用两种方式: 1)直接从Matlab指令窗口选取菜单File|New|Modal命令。 2)先启动Simulink库浏览器,然后点击Simulink库浏览器的工具条中的“新建模型”图标,建立新的模型文件。 如果模型文件已经存在,至少有三种方法打开模型文件: 1)从Matlab指令窗口选取菜单File|Open命令。 2)先启动Simulink库浏览器,然后点击Simulink库浏览器的工具条中的“打开模型”图标,打开已经存在的模型文件,对它进行编辑、修改和仿真。 3)在Matlab命令窗口中键人模型文件名称,不需要.mdl后缀。 Simulink用不同的窗口显示模块库、模型号和仿真输出图形结果.这些窗口不是Matlab图形窗口,不能用句柄图形命令来操作。 2. Simulink例 本节通过一个实例,先简单地介绍一下Simulink环境,然后介绍如何使用Simulink进行系统建模、系统仿真。 现在需要对一个正弦信号积分,显示正弦信号与积分结果,该模型的框图如图1所示。 图1 目标正弦积分模型 要构建一个模型,必须先启动Matlab。然后在Matlab命令窗口下键人Simulink命令,便打开一个名为Simulink Library Browser的Simulink模块库浏览器。 将鼠标指针移到Simulink库之上.点击鼠标右键,将出现弹出菜单Open the Simulink Library;点击左键选择打开,则启动Simulink库的窗口界面。 点击新建模型工具条按钮,创建一个新的系统模型,此时Simulink打开一个名为untitled的空白窗口. 将新模型窗口移到屏幕的右侧,使屏幕上能够同时看到窗口的内容和模块库的内容。从模型的功能框图可以看出,该模型用到了如下模块库: 源节点库(正弦波模块)。 阱节点库(观测器模块)。 连续信号库(积分器模块) 信号与系统库(多路转换器模块)。 现在需要将所需的模块逐一拷贝到模型窗口中。在Simulink库浏览器中,将Simulink库浏览树展开,点击Sources选择源节点库,再在右边找到正弦波模块。

文档评论(0)

enxyuio + 关注
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档