基于FPGA的异步电机课程设计.doc

  1. 1、本文档共20页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于FPGA的异步电机课程设计

学生学号 课程设计 题目 步进电机定位控制系统设计 学 院 专业 班级 姓名 指导老师 2014~2015 学年 1月 7日 课程设计任务书 学生姓名: 专业班级: 指导教师: 工作单位 题目:步进电机定位控制系统设计 初始条件: 1. 熟悉QuartusII 软件的操作与运用; 2. 掌握步进电机的工作原理。 要求完成的主要任务: 1. 设计一个基于FPGA 的4 相步进电机定位控制系统,包括步进电机方向设定 电路模块、步进电机步进移动与定位控制模块和编码输出模块。 2.撰写符合学校要求的课程设计说明书 时间安排: 1、 年 月 日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、 年 月 日至 年 月 日,设计说明书撰写。 3、 年 月 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日 目录 摘要 I Abstract II 1 设计目标及简介 1 1.1设计目标 1 1.2 步进电机简介 1 2 VHDL语言介绍 2 3 Quartus Ⅱ介绍 3 4 系统组成 4 4.1 四相步进电机工作原理 4 4.2 系统组成 5 5 模块设计 5 5.1 FPGA模块图及信号说明 5 5.2 系统模块构成 6 5.3 各模块间整体共享的电路内部传递信号 6 5.4 电机方向设定电路模块 6 5.5 步进电机步进移动与定位控制模块 7 5.6 编码输出模块 7 6 程序设计与仿真 8 7 仿真结果 12 8 实验总结 14 9参考文献 15 摘要 在分析了步进电机工作原理的基础上,提出了步进电机定位控制系统的模块划分和实现方法。以步进电机四相四拍工作方式为例,用Verilog编程在Altera公司的FPGA开发系统中实现了各功能模块和显示程序。在系统仿真的基础上,进行了功能模块的控制实验,实验结果和仿真一致,实现了对步进电机模组的预定控制。 关键词:步进电机 定位控制 Verilog硬件描述语言 FPGA Abstract On the basis of analysing stepping motor’s operational principle,we put forward modules division and realizing method of positioning control system which using stepping motor.Giving an example such as stepping motors which work in Four-phase-four-step mode,we use Verilog programming realized every foundational module and display routine in Altera’s FPGA development system.On the basis of system simulation,we conduct a controlling experiment about foundational modules,the results are the same as simulation’s results.We carried out the expected control about stepping motor. Keyword: stepping motor positioning control VHDL FPGA 1 设计目标及简介 1.1设计目标 设计一个基于FPGA的4相步进电机定位控制系统。 系统主要由步进电机方向设定电路模块、步进电机步进移动与定位控制模块和编码输出模块构成。 前两个模块完成电机旋转方向设定,激磁方式设定和定位角度的换算等工作,后一个模块用于对换算后的角度量编码输出。 1.2 步进电机简介 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件,具有价格低廉、易于控制、无累积差错和与计算机接口方便等诸多优点,在机械、仪表、工业控制等领域中获得了广泛的应用。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,

文档评论(0)

docindpp + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档