QuartusII使用教程FPGA入门教程.pptVIP

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
QuartusII使用教程FPGA入门教程

TCL脚本文件 #指定系统时钟输入管脚从L1输入 set_location_assignment PIN_L1 -to sys_clk #指定复位信号输入管脚从U12输入 set_location_assignment PIN_U12 -to rst_n #****************************************************** #指定LED灯闪动信号从D15 E14 C14 D14输出 set_location_assignment PIN_D15 -to LED\[0\] set_location_assignment PIN_E14 -to LED\[1\] set_location_assignment PIN_C14 -to LED\[2\] set_location_assignment PIN_D14 -to LED\[3\] #****************************************************** 点亮LED灯设计流程 打开quartus ii软件 新建工程文件 编辑LED灯HDL文件 添加TCL脚本文件 编译程序 用JTAG直接下载到FPGA运行 用JTAG下载到EPCS运行 新建工程文件 输入工程名 选择目标板FPGA型号 选择FILE-NEW-vhdl file 新建HDL文件 编辑文本并作必要注释,跟工程文件保存同一文件夹 编译工程 代码有错,编译器提示错误 修改错误(图示:下一页) 1 点击Messages栏中的“Error” 筛选错误 2 双击第一行错误(注意第一行) 3 双击第一行错误后,代码中的错误行会反色显示,将多余的”);”删掉 4 重新编译 5 如果还有错误,重复1-4,直到通过编译 编译后,FPGA资源使用分析 指定EPCS目标编程器件(图示:下一页) 1 Assignments-Device 2 点击 Device and Pin Options… 3 选择Configuration 4 选择Active Serial 5选择EPCS16 建立脚本文件:FILE-NEW-Tcl Script File 编辑TCL脚本文件,指定FPGA管脚 添加脚本文件到工程 查看管脚是否指定成功(此过程可以忽略) 路径:Assignments-Pins 重新编译 用JTAG模式,直接下载程序到FPGA运行 掉电后程序不保存 掉电存储方式 将程序下载到EPCS存储芯片 见 “使用JTAG下载EPCS器件的方法.pdf” * ? 2009 Altera Corporation—Confidential Altera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation ? 2009 Altera Corporation—Confidential Altera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation ? 2009 Altera Corporation—Confidential * ? 2009 Altera Corporation—Confidential * 广州邦讯信息系统有限公司 FPGA培训教程 liuts 2011-8 Quartus II 9.1 使用教程 设计一个点亮4位LED灯程序 要求: 1、FPGA器件型号:EP2C35F484C8N 2、存储FPGA代码芯片:EPCS16 3、系统输入时钟50MHZ 4、设计一个29位分频计数器 5、将分频器的26-29位用4个LED指示灯显示; 6、系统下载配表管脚: Sys_clk :L1 Rst_n : U12 模16四个LED1-4:D15、E14、C14、D14 VHDL代码 library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity led_test is PORT( sys_clk : IN STD_LOGIC;--50M系统时钟输入 rst_n : IN STD_LOGIC;--复位信号输入 LED : OUT STD_LOGIC_VECTOR(3 DOW

文档评论(0)

118books + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档