- 1、本文档共8页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA软件工具
EDA设 计 课 程 实 验 报 告
实 验 题 目: EDA软件工具
学 院 名 称:
专 业: 电子信息工程
班 级:
姓 名: 高胜 学 号
小 组 成 员: 电子与信息工程学院
指 导 教 师:
实验目的
(1)熟悉EDA的开发流程
(2)熟悉QuartusII的使用方法
(3)掌握ModelSim仿真软件的使用
设计任务及要求
(1)设计总体要求:
本实验通过对四位二进制加法器和七人投票表决器的电路实现和仿真测试过程来初步熟悉QuartusII的使用方法和掌握ModelSim仿真软件的使用。
系统设计
功能模块电路设计(报告主要部分)
4位二进制加法器
输入输出模块框图
模块逻辑表达
{sum,cout}=ina+inb+cin;
votepass1111111111111101111110011111000111100000110000001000000000000000
算法流程图(见尾页)
Verilog源代码(每句加注释)
module add4(ina, inb,cin,cout,sum)
input[3:0] ina,inb;
input cin;
output[3:0] sum;
output cout;
assign {sum,cout}=ina+inb+cin;
endmodule
七人投票表决器
输入输出模块框图
算法流程图(见尾页)
Verilog源代码(每句加注释)
module voter(vote,pass); 模块名input[7:1]vote; 定义输出口output reg pass; 定义输出口reg[2:0] sum;integer i; 定义寄存器always@(vote) begin sum=0; for(i=1;i8;i=i+1) //for语句 if(vote[i]) sum=sum+1;if(sum[2]) pass=1; //若超过四人赞成,则pass=1 else pass=0;endendmodule
系统调试
4.1仿真调试
仿真代码
4位二进制加法器
`timescale 1ns/1ns
module add4_tp;
reg[3:0] a,b;
reg cin;
wire[3:0] sum;
wire cout;
parameter DELY=100;
add4 ul(sum,cout,a,b,cin);
initial begin
a=4d0;b=4d0;cin=1b0;
#DELY a=4d1;b=4d2;cin=1b1;
#DELY a=4d2;b=4d3;cin=1b0;
#DELY a=4d11;b=4d2;cin=1b1;
#DELY a=4d1;b=4d12;cin=1b0;
#DELY $finish;
end
initial $monitor($time,,,%d+%d+%b={%b,%d},a,b,cin,cout,sum);
endmodule
module add4(ina, inb,cin,cout,sum);
input[3:0] ina,inb;
input cin;
output[3:0] sum;
output cout;
assign {sum,cout}=ina+inb+cin;
endmodule
七人投票表决器
`timescale 1ns/1nsmodule voter_fz;reg[7:1]vote;parameter DELY=100;voter u1(vote,pass);initial beginvote=7b0;#DELY vote=7b1100010;#DELY vote=7b00
您可能关注的文档
- CRANEHANDSIGNALS.doc
- CPU性能排名-更新至--.doc
- CreativeReadingBUnit-.doc
- CreateaConservation.doc
- CrisisContinuesatCrippledJapaneseNuclearPowerPlants.doc
- CrMnMoVA钢论文:CrMnMoVA钢磁控溅射Al薄膜正交实验化学转化.doc
- CRM预存接口.doc
- CRM中的客户信息管理.doc
- CRPDReconciliationofSAEEvents.doc
- CrossregulationandfunctionalredundancybetweenthesplicingregulatorPTBanditsparalogsnPTBa.doc
- 人教版九年级英语全一册单元速记•巧练Unit13【速记清单】(原卷版+解析).docx
- 人教版九年级英语全一册单元速记•巧练Unit9【速记清单】(原卷版+解析).docx
- 人教版九年级英语全一册单元速记•巧练Unit11【速记清单】(原卷版+解析).docx
- 人教版九年级英语全一册单元速记•巧练Unit14【单元测试·提升卷】(原卷版+解析).docx
- 人教版九年级英语全一册单元速记•巧练Unit8【速记清单】(原卷版+解析).docx
- 人教版九年级英语全一册单元速记•巧练Unit4【单元测试·提升卷】(原卷版+解析).docx
- 人教版九年级英语全一册单元速记•巧练Unit13【单元测试·基础卷】(原卷版+解析).docx
- 人教版九年级英语全一册单元速记•巧练Unit7【速记清单】(原卷版+解析).docx
- 苏教版五年级上册数学分层作业设计 2.2 三角形的面积(附答案).docx
- 人教版九年级英语全一册单元速记•巧练Unit12【单元测试·基础卷】(原卷版+解析).docx
文档评论(0)