8.11二进制相位键控[PSK]调制器和解调器设计.pptVIP

8.11二进制相位键控[PSK]调制器和解调器设计.ppt

  1. 1、本文档共58页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
8.11二进制相位键控[PSK]调制器和解调器设计

8.11二进制相位键控(PSK) 调制器与解调器设计;相移键控PSK;绝对调相和相对调相;1.绝对调相( CPSK );;2.相对调相(DPSK);;由以上分析可以看出,绝对移相波形规律比较简单,而相对移相波形规律比较复杂。 绝对移相是用已调载波的不同相位来代表基带信号的,在解调时,必须要先恢复载波,然后把载波与CPSK信号进行比较,才能恢复基带信号。由于接收端恢复载波常常要采用二分频电路,它存在相位模糊,即用二分频电路恢复的载波有时与发送载波同相,有时反相,而且还会出现随机跳变,这样就给绝对移相信号的解调带来困难。 而相对移相,基带信号是由相邻两码元相位的变化来表示,它与载波相位无直接关系,即使采用同步解调,也不存在相位模糊问题,因此在实际设备中,相对移相得到了广泛运用。;CPSK信号的产生;1.直接调相法;2.相位选择法;相位选择法电路如上图所示,设振荡器产生的载波信号为 ,它加到与门1,同时该振荡信号经倒相器变为 ,加到与门2,基带信号和它的倒相信号分别作为与门1及与门2的选通信号。基带信号为1码时,与门1选通,输出为 ;基带信号为 “0”码时,与门 2选通,输出为 ,即可得到CPSK信号。;DPSK信号的产生 ;1.相对移相信号(DPSK)的产生;2.绝对码一相对码变换关系;;图(a)(b)分别为绝对码变为相对码的电路及波形;图(a),(b)分别为相对码变为绝对码的电路及波形;3.产生DPSK信号电路方框图; DPSK信号的解调;1.极性比较法;2.相位比较法;;输入的uDPSK信号一路直接加到乘法器,另一路经延迟线延迟一个码元的时间TB后,加到乘法器作为相干载波。若不考虑噪声影响,设前一码元载波的相位为 ,后一码元载波的相位为 ,则乘法器的输出为;;可直接解调出原绝对码基带信号。 这里应强调的是,相位比较法电路是将本码元信号与前一码元信号相位比较,它适合于按相位差定义的DPSK信号的解调,对码元宽度为非整数倍载频周期的按向量差定义的DPSK信号,该电路不适用。 对 C PS K信号解调,该电路输出端应增加相对码变为绝对码的变换电路。;CPSK调制程序方框图及电路符号;CPSK调制方框图 ;CPSK调制电路符号 ;CPSK调制VHDL程序及仿真 ;CPSK调制VHDL程序及注释;begin process(clk) --此进程主要是产生两重载波信号f1,f2 begin if clkevent and clk=1 then if start=0 then q=00; elsif q=01 then f1=1;f2=0;q=q+1; elsif q=11 then f1=0;f2=1;q=00; else f1=0;f2=1;q=q+1; end if; end if; end process;;process(clk,x) --此进程完成对基带信号x的调制 begin if clkevent and clk=1 then if q(0)=1 then if x=1 then y=f1; --基带信号x为‘1’时,输出信号y为f1 else y=f2; --基带信号x为‘0’时,输出信号y为f2 end if; end if; end if; end process; end behav; ; CPSK调制VHDL程序仿真图及注释;注:a.载波信号f1、f2是通过系统时钟clk 分频得到的,且滞后系统 时钟一个clk。 b.调制输出信号y滞后载波一个clk;滞后系统时钟两个clk。 (CPSK调制VHDL程序仿真局部放大图);CPSK解调方框图及电路符号 ;CPSK解调方框图;CPSK解调电路符号 ;CPSK解调VHDL程序及仿真 ;1.CPSK解调VHDL程序及注释;;2.CPSK解调VHDL程序仿真图及注释 ;注:a.当q=0时,根据x的电平来进行对判决。 b.输出信号y滞后输入信号x一个clk。 (CPSK解调VHDL程序仿真局部放大图);DPSK调制方框图及电路符号; DPSK调制方框图 ;DPSK调制电路符号 ;绝对码-相对码转换VHDL程序及仿真;1.绝对码-相对码转换VHDL程序;begin process(clk,x) --此进程完成绝对码到

文档评论(0)

jdy261842 + 关注
实名认证
文档贡献者

分享好文档!

1亿VIP精品文档

相关文档