表决器1.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
表决器1

实验一 组合逻辑电路设计 实验要求: 设计一个组合逻辑电路,6输入的表决器,带优先级,按键去抖动。 实验内容: 建立VHDL文件: 1)单击File\New菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开空的VHDL文件。 2) 在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。 3) 编译工程,单击Processing\Start Compilation开始编译。 3. 建立矢量波形文件 1) 单击File\New命令,在弹出的对话框中选择Other Files页面中的Vector Waveform File项,打开矢量波形文件编辑窗口。 2) 双击窗口左边空白区域,打开Insert Node or Bus对话框 3) 单击Node Finder…按钮,打开以下对话框,选择Filter下拉列表中的Pins:all,并点击List按酒以列出所有的端口,通过按钮把这些端口加入到右面的窗口中,单击OK完成端口的添加。 4) 回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文件。 4. 进行功能仿真 1) 单击Assignments\Settings…将Simulation mode设置为Timing,即时序仿真。指定仿真波形文件后单击OK完成设置。 三、源代码 1、7人表决器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bjq7 is port (input:in std_logic_vector(6 downto 0); led:out std_logic_vector(6 downto 0); y:out std_logic); end; architecture one of bjq7 is begin process(input) variable cnt:integer range 0 to 7; begin cnt:=0; for i in 6 downto 0 loop if input(i)=1then cnt:=cnt+1; end if; end loop; if cnt3 then y=1; else y=0; end if; case cnt is when 0=led=1111110; when 1=led=0110000; when 2=led=1101101; when 3=led=1111001; when 4 =led=0110011; when 5=led=1011011; when 6=led=1011111; when 7=led=1110000; when others=led=0000000; end case; end process; end; 2.6人表决器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity vote6 is port(clr,lock:in std_logic; v_in:in std_logic_vector(4 downto 0); led_opp,led_agr:out std_logic; v_over:out std_logic_vector(2 downto 0); num_agr_num_opp:out std_logic_vector (3 downto 0); v_out:out std_logic_vector(4 downto 0)); end entity; architecture wen of vote6 is signal count_temp:std_logic_vector(4 downto 0); begin process(clr,lock,v_in) is variable cl,c2:integer:=0; begin count_temp=v_in; if (clr=1) then v_over=000; led_opp=0; led_agr=0; num_agr=1111;

文档评论(0)

f8r9t5c + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:8000054077000003

1亿VIP精品文档

相关文档