QuartusII讲义1_基本文件设计及波形仿真.pdf

QuartusII讲义1_基本文件设计及波形仿真.pdf

  1. 1、本文档共19页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
前言 误!未定义书签。 第一章 Quartus II 的使用1 1.1 工程建立1 1.2 原理图的输入4 1.3 文本编辑 (VHDL ) 14 1.4 波形仿真 15 0 第一章 Quartus II 的使用 在这一章中,首先用最简单的实例向读者展示使用 Quartus II 软件的全过程。进入 WINDOWS 2000 后,双击Quartus II 图标,屏幕如图1.1 所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用 New Proj ect Wizard,可以为工程指定工作目录、分配 程名称以及指定最高层设 计实体的名称。 还可以指定要在工程中使用的设计文件、其它源文件、用户库和 EDA 具,以及目标器件系列和器件 (也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下: (1) 选择File 单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 1 (2) 输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过 程可以在设计过程中完成。 图 1.3 项目目录和名称 (3) 加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中 加入,如图1.4所示。 图 1.4 加入设计文件 (4) 选择设计器件,如图1.5所示。 2 图 1.5 选择器件 (5) 选择第三方EDA综合、仿真和时序分析 具,如图1.6所示。 图 1.6 选择EDA 工具 (6) 建立项目完成,显示项目概要,如图1.7所示。 3 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下: (1) 选择File 单下 New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2 ) 在图1.9 的空白处双击,屏幕如图1.10 所示: (3 ) 在图1.10 的Symbol Name 输入编辑框中键入dff 后,单击ok 按钮。此时可看 到光标上粘着被选的符号,将其移到合适的位置 (参考图 1.11)单击鼠标左键,使其固定; 4 (4 ) 重复 (2 )、(3)步骤,给图中放一个input 、not、output 符号,如图1.11 所示; 在图1.11 中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D 触发器的左 侧单击鼠标左键,即可看到在input 和D 触发器之间有一条线生成; 图1.9 空白的图形编辑器 图1.10 选择元件符号的屏幕 5 图1.11 放置所有元件符号的屏幕 (5 ) 重复(4 )的方法将DFF 和output 连起来,完成所有的连线电路如图1.12 所示; (6 ) 在图 1.12 中,双击input_name 使其衬低变黑后,再键入clk,及命名该输入信 号为clk,用相同的方法将输出信号定义成Q;如图1.13 所示。 (7 ) 在图1.13 中单击保存按钮 ,以默认的try 1 文件名保存,文件后缀为bdf 。 6 图1.12 完成连线后的屏幕 图1.13 完成全部连接线的屏幕 (8 ) 在图1.8 中,单击编译器快捷方式按钮

文档评论(0)

kehan123 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档