组合电路的VHDL描述.ppt

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
组合电路的VHDL描述

组合电路的VHDL描述 1 组合逻辑电路的VHDL描述 [例3.8.1]3线-8线译码器的VHDL描述 Library ieee; Use ieee.std_logic_1164.all; Entity decoder38 is port (a:in std_logic_vector(2 downto 0); y:out std_logic_vector(7downto o)); End decoder38; Architecture one of decoder38 is begin process(a) begin case a is when“000”=y=; when“001”=y=; when“010”=y=; when“011”=y=; when“100”=y=; when“101”=y=; when“110”=y=; when“111”=y=; when others=null; end case; end process; end one; [例3.8.2]8线-3线优先编码器的VHDL描述 Library ieee; Use ieee.std_logic_1164.all; Entity encoder83 is port (d:in std_logic_vector(7 downto 0); encode:out std_logic_vector(2 downto o)); End encoder83; Architecture one of encoder83 is begin Encode=“111” when d(7)= ‘1’ else “110” when d(6)= ‘1’ else “101” when d(5)= ‘1’ else “100” when d(4)= ‘1’ else “011” when d(3)= ‘1’ else “010” when d(2)= ‘1’ else “001” when d(1)= ‘1’ else “000” when d(0)= ‘1’; end one; [例3.8.3]4选一数据选择器的VHDL描述 Library ieee; Use ieee.std_logic_1164.all; Entity mux41 is port (a,b,c,d:in std_logic; s:in std_logic_vector(1 downto o) z:out std_logic); End mux41; Architecture one of mux41is begin process(s,a,b,c,d) begin case a is when“00”=z=a; when“01”=z=b; when“10”=z=c; when“11”=z=d; when others=z=‘x’; end case; end process; end one;

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档