- 1、本文档被系统程序自动判定探测到侵权嫌疑,本站暂时做下架处理。
- 2、如果您确认为侵权,可联系本站左侧在线QQ客服请求删除。我们会保证在24小时内做出处理,应急电话:400-050-0827。
- 3、此文档由网友上传,因疑似侵权的原因,本站不提供该文档下载,只提供部分内容试读。如果您是出版社/作者,看到后可认领文档,您也可以联系本站进行批量认领。
查看更多
蜂鸣器试验论文20田鹏飞赵阳郑翔宇邮箱:zy791027638@163com
蜂鸣器实验论文
20 田鹏飞 赵阳 郑翔宇
邮箱:zy791027638@163.com
中国海洋大学 2012 级通信工程
摘要:给蜂鸣器输入相应的频率,可以使其发出表中所示的低
音、中音、高音的do~xi的声音。将其按照音乐演奏的规律
组合,便可以得到所需要的乐曲。
关键词:音调;频率;基准频率分频
选题背景:我们希望能够通过自己双手为大家做出一首美妙的
乐曲,这既是一种乐趣,也一种锻炼自己的机会。乐曲是
一种陶冶情操的美好的东西。所以我们选取能够用音乐愉
悦大家的蜂鸣器实验。
意义:通过这次实验,我们认识到了自己的不足,也认识到了
团队合作的重要性。我们这个团体通过这次实验经历的困
难波折,认识到做事须认真,执着不放弃,只有勇敢的做
下去就能拥有自己想要的结果。即是达不到想要的程度,
也对的起自己的辛苦劳动。
相关工作:1、设置端口
1 输入端口
CLK:12MHZ 系统时钟输入端口。
2)输出端口
device:乐曲的声音输出端口,输出的是对应各音符频
率的方波信号。
2、设置模块
1)自动演奏模块
自动演奏模块可以自动播放电子琴内置乐曲,按节拍读
取内置乐谱。将键盘输入的音符信号输出。因此,本模
块是向 Tone 模块提供音符信息。
首先,对 12MHz 系统时钟进行 3M 的分频,得到 4Hz 的信
号,这样一秒中就可以按照四拍进行。然后依照此频率
进行地址累计。
2)音频发生器模块
根据自动演奏模块的信号输出,不同的信号被翻译为
不同的频率。
3)蜂鸣器驱动模块
根据音频发生器发出音频的不同,蜂鸣器得到的驱动也
不同。首先,对系统时钟进行 16 分频,再对 0.75mhz 的
脉冲再次分频,得到所需要的音符频率,然后再进行 2
分频。
实验结果及分析:
实验代码
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity tone is
port(
index: in std_logic_vector(15 downto 0);
--音符输入信号
tone0: out integer range 0 to 2047
--音符的分频系数
);
end tone;
architecture behavioral of tone is
begin
search :process(index) --此进程完成音符到音符的分
频系数译码,音符的显示,高低音阶
begin
case index is
when 0000000000000001 = tone0=1433;
when 0000000000000010 = tone0=1277;
when 0000000000000100 = tone0=1138;
when 0000000000001000 = tone0=1074;
when 0000000000010000 = tone0=960;
when 0000000000100000 = tone0=853;
when 00000
文档评论(0)