Modelsim仿真库编译.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Modelsim仿真库编译

在使用ModelSim软件对Xilinx ISE进行后仿真时,需要先编译Xilinx的仿真库,否则就会产生无法加载simprim,unisim等库文件的错误。 在许多论坛上都提到了使用compxlib命令来编译Xilinx的仿真库,如使用compxlib -s mti_se –arch all -l all -dir D:\Modeltech_6.0\xilinx_lib -w. 但是对于如此复杂,而又不经常使用的命令有谁会愿意记忆呢。还好我们可以使用一个具有图形化界面的编译向导工具来方便的产生仿真库。今天无意中在Xilinx ise软件的安装目录下发现了一个名为compxlibgui.exe的程序,根据其名字可以推测这是一个用于编译仿真库的图形化程序。打开一看,确实很好用,提供向导式的编译过程,只需一路next就可以搞定。具体使用步骤如下: 使用的编译工具: compxlibgui.exe 工具位置:Xilinx ISE安装路径\bin\nt目录下 步骤一:去除modelsim.ini文件的只读属性 modelsim.ini文件是ModelSim软件的配置文件。Xilinx的仿真库编译软件运行过程中会修改此文件。在ModelSim软件的安装目录下找到modelsim.ini文件,右击-属性,去除只读属性。 步骤二:运行编译工具,compxlibgui.exe 在Xilinx ISE安装路径\bin\nt目录下,双击_compxlibgui.exe程序,界面如下图: 首先需要选择仿真器,在此选择ModelSim,选择之后,程序会自动选择仿真软件的安装路径,如果自动选择的有问题,也可以自己指定。 如何用ModelSim se完全编译Xilinx库文件?? 2010-12-28 15:07:29|??分类:? HYPERLINK /dengxiaosong1986@126/blog/ \l m=0t=1c=fks_085065092082082067082086074064082080081065093083080 \o 默认分类 默认分类|字号?订阅 什么是之前的老办法呢? Modlesim 仿真库的建立: 将Modelsim根目录下的modelsim.ini的属性由只读改为可写。 新建一个文件夹,比如library(为叙述方便,把它放在modelsim的根目录下)。D:/modelsim/library. 启动Modelsim,选择[File]/[chang Directory],选择D:/modelsim/library. 选择[File]/[New]/[library]命令,弹出[Creat a New library],在[lihrary Name]中输入“simprims_ver”,同时下一栏也自动输入“simprims_ver”,单击OK。 ????? 在主窗口中选择[compile]/[Compile]命令,弹出[compile Source Files],在[Library]的下拉列表中选择“simprims_ver”在[查找范围]中选中[Xilinx/veriog/src/simprims]目录下的全部文件,单击complie进行编译。(这时可能会花你一些时间,耐心等待编译完毕)用同样的方法将unisims和Xilinxcorelib三个仿真库进行编译。 ????? 这时在D:/modelsim/library 下就有以上三个仿真库。 ??? 7.总结步骤为a:建立库的放置路径b:对库进行编译c:对库进行映射。最后重新启动Modelsim可以在列表中看到建立的三个库。 那么这个办法明显是比较麻烦的。其实我们可以这样做; 首先将modelsim.ini文件只读模式去掉,存档前面打对勾。 在您安装ise的目录下,进入到bin\nt目录下,例如e:\ise6\bin\nt,确认有compxlib这个程序 在cmd中运行compxlib -s mti_se -f all -l all -o e:\modeltech_6.0\xilinx_libs就可以了,e:\modeltech_6.0是我安装modelsim的目录,您可以作相应的更改。参数也可以按照您的要求作相应的更改。 这样就可以了。 需要注意的是,千万记住ise和modelsim的安装目录都不要出现空格,最好是直接安装在根目录下 modelsim下编译xilinx库的方法 这几天,建库的问题比较多,写一个建库的方法。 所用软件:ISE7.1i+ModelsimSE6.0 语言:VHDL 首先安装软件。注意:ISE,Modelsim的安装路径不能含有空格。 另外,Modelsim的安装路径可设为“$:/modelsim”,其中$为盘符,不要使用默认的安装路

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档