智能供暖系统散热器毕业设计.doc

  1. 1、本文档共45页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
智能供暖系统散热器毕业设计 目 录 摘 要 V Abstract VI 绪论 1 1 主要器件和编程语言简介 2 1.1本设计所用到的主要元器件 2 1.2器件简介 2 1.2.1 FPGA简介 2 1.2.2 单片机简介 3 1.2.3 DS1302简介 3 1.2.4 DS18B20简介 5 1.3 编程语言简介 6 1.3.1 VHDL简介 6 1.3.2 C51语言简介 6 2 系统方案选择和论证 8 2.1设计要求 8 2.2各模块方案论证和选择 8 2.2.1控制器模块方案的论证和选择 8 2.2.2 水位控制模块方案的论证和选 9 2.2.3 水温控制模块方案的论证和选择 9 2.2.4 定时开关控制模块方案的论证和选择 10 2.2.5 时钟模块方案的论证和选择 10 2.2.6 键盘模块方案的论证和选择 11 2.2.7 显示模块方案的论证和选择 11 2.3硬件系统框图和总体软件系统流程图 11 2.4硬系统总体电路图 14 2.4.1 FPGA模块电路图 14 2.4.2单片机控制模块电路图 15 3 .硬件电路组装调试 17 3.1各模块的硬件组装 17 3.1.1 FPGA模块 17 3.1.2 单片机模块 18 3.2组装和改进系统硬件电路 19 3.3各硬件电路调试方法 20 3.3.1 FPGA调试方法 20 3.3.2 继电器电路的调试方法 21 4 .软件调试及仿真 22 4.1各模块的软件调试、仿真和实物测试 22 4.1.1 FPGA软件调试、仿真和实物测试 22 4.1.2 DS1302软件调试、仿真和实物测试 23 4.1.3 DS18B20软件调试、仿真和实物测试 23 4.2整个系统软件调试、仿真和实物测试 24 4.2.1主程序的调试、仿真和实物测试 24 4.2.2水位调节子程序的调试、仿真和实物测试 26 4.2.3水温调节子程序的调试、仿真和实物测试 26 4.2.4定时开关子程序的调试、仿真和实物测试 28 4.2.5校时程序仿真 29 4.2.6按键功能提示界面的程序仿真 30 4.2.7没有实现的第二定时功能提示界面的程序仿真 30 5 .总体设计电路的优缺点总结及改进意见和展望 31 5.1优点 31 5.2缺点 31 5.3改进意见和展望 31 结 论 32 参考文献 33 附录A:VHDL语言源程序 34 附录B: C51语言程序主程序名及头文件名 35 致 谢 36 插图索引 图1 DS1302封装图 4 图2 KEIL uVision2 C51 编译器所支持的数据类型 7 图3 智能热水器系统设计总体框图 12 图4 FPGA控制器设计总体框图 12 图5 MCU控制器设计总体框图 12 图6 矩阵键盘特征值分布图 13 图7 总体程序流程图 13 图8 校时、调温功能按键分布图及对应特征值 14 图9 FPGA控制模块电路图 15 图10 单片机控制模块电路图 16 图11 自制水位传感器 17 图12 继电器驱动电路 17 图13 矩阵键盘电路 18 图14 LCD12864的显示电路 18 图15 DS1302电路 19 图16 DS18B20电路 19 图17 改进后的继电器驱动电路 20 图18 自制水位传感器 20 图19 自顶向下的设计流程 22 图20 FPGA控制器的时序仿真图 22 图21 FPGA控制器结构图 22 图22 DS1302仿真图 23 图23 DS18B20仿真图 23 图24 主程序流程图 24 图25 主程序仿真图 25 图26 提示功能界面仿真图 25 图27 水位调节程序的流程图 26 图28 水位调节程序仿真图(a) 26 图29 水位调节程序仿真图(b) 26 图30 水温调节子程序流程图 27 图31 水温调节仿真图 27 图32 按确定键后的水温调节界面 28 图33 定时开关子程序流程图 28 图34 定时开关功能仿真图 28 图35 按确定键后的仿真图 29 图36 校时功能界面仿真 29 图37 按确定键后的校时功能界面仿真 29 图38 提示功能界面仿真 30 图39 没实现的定时功能提示界面仿真 30 摘 要 智能化的热水器一般的用单片机作为控制器,能实现恒温和水位的自动调节的功能,本设计是以FPGA和单片共同作为控制器件设的智能化热水器,在减少了一些外围器件的同时实现了恒温和水位的自动调节控制,还能实施时间监控,根据不同的月份,不同的日期,不同的时间而自动开启和关断热水器的电源,从而使得热水使用更加方便,设定后不需要人的干预,同时能节约电能。本设计编程语言使用VHDL 语言和C51,运行环境分别是MAX+plus II 10.0和Keil uVision3,用Proteus 7 Pr

文档评论(0)

你好世界 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档