实验数据比较器.docVIP

  1. 1、本文档共2页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
实验 数据比较器一、实验目的 设计并实现一个4位二进制数据比较器。二、实验原理 二进制比较器是提供关于两个二进制操作数间关系信息的逻辑电路。两个操作数的比较结果有三种情况:A等于B、A大于B和A小于B。 考虑当操作数A和B都是一位二进制数时,构造比较器的真值表见表-1。输出表达式如下: AEQB=A’B’+AB=(AB)’ AB=AB’ AB=A’B 表-1 一位比较器的真值表 输入 输出 A B A=B AB AB 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 1 1 0 0 在一位比较器的基础上,我们可以继续得到两位比较器,然后通过“迭代设计”得到4位的数据比较器。对于4位比较器的设计,我们可以通过原理图输入法或HDL描述来完成,其中用HDL语言描述是一种最为简单的方法。三、实验、实验连线 输入信号有A0~A3、B0~B3、CLK和RST,其中A0~A3和B0~B3代表两路相互比较的数,接拨码开关,CLK接时钟,RST接复位端;输出信号有AEQB(A=B)、AGTB(AB)、ALTB(AB),接发光二极管。改变拨码开关的状态,观察实验结果。 a对应SW1—SW4 b对应SW5—SW8 CLK对应PIN153(OSC),RST对应PIN131(RESET),这两个管脚为CPU板上系统功能引脚,已在CPU板上连接 AGTB ,AEQB ,ALTB分别接IO9—IO11 (IO9—IO11用导线连接L1—L3,LED低电平点亮) 功能选择位VGA[3..0]状态为0001,即16位拨码SW1—SW16被选中输出到总线D[15..0]四、实验记录 同前面实验,对比较器造表,得到其真值表,并分析其运算结果的正确性。 输入 输出 A B A=B AB AB 00000 0001 0100 1011 1100 1111 1111 1101 1100 0010 1000 0010 0010 1101 1111 0101 1010 1101 0101

文档评论(0)

phl805 + 关注
实名认证
文档贡献者

建筑从业资格证持证人

该用户很懒,什么也没介绍

领域认证该用户于2023年05月12日上传了建筑从业资格证

1亿VIP精品文档

相关文档