- 1、本文档共9页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
四位全加器的VHDL设计概要
一位全加器的逻辑表达式 S=A⊕B⊕Cin Co=AB+BCin+ACin 其中A,B为要相加的数,Cin为进位输 入;S为和,Co是进位输出; Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity fulladder Is Port(Ci,a,b : IN std_logic; s,Co : OUT std_logic); End fulladder; Architecture m1 Of fulladder Is Signal tmp: std_logic_vector(1 downto 0); Begin tmp=(0 a) + b + Ci; s=tmp(0); Co=tmp(1); End m1; 一位全加器的数据流(逻辑)描述 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity fulladder is Port (A,B,CI:in std_logic; S,CO:out std_logic); End fulladder; Architecture dataflow of fulladder is Begin S= CI xor A xor B; CO= (A and B) or (CI and A) or (CI and B); End dataflow; 一位全加器的行为描述 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity fulladder is Port (a,b,cin: In bit; sum,cout: Out bit); End fulladder; Architecture behave Of fulladder Is Begin Process (a, b, cin) Begin If(a Or b Or cin)= ‘0’ Then sum =‘0’; cout =‘0’; Elsif (a AND b AND cin ) =’1’ Then sum =‘1’; cout =‘1’; Elsif (a XOR b XOR cin ) =’0’ Then sum =‘0’; cout =‘1’; Else sum =‘1’; cout =‘0’; End If; End Process; End behave; Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity adder4 Is Port(Cin : IN std_logic; x, y : IN std_logic_vector(3 downto 0); sum : OUT std_logic_vector(3 downto 0); Cout : OUT std_logic); End adder4; Architecture ax Of adder4 Is Signal c: std_logic_vector(0 to 4); Component fulladder Port(Ci,a,b : IN std_logic; s, Co : OUT std_logic); End component; Begin c(0)=Cin; U1:fulladder Port Map(c(0),x(0),y(0),sum(0),c(1)); --U1:fulladderPortMap(Ci=c(0),a=x(0),b=y(0), s=sum(0),Co=c(1)); U2:fulladder Port Map(c(1),x(1),y(1),sum(1),c(2)); U3:fulladder Port Map(c(2),x(2
您可能关注的文档
- 和谐校园家政服务有限责任公司概要.ppt
- 咏鹅(五线谱)概要.ppt
- 咖啡厅项目策划书概要.doc
- 咏物诗四首概要.ppt
- 和钟姐姐交朋友1概要.ppt
- 咨询项目建议书(模本)概要.ppt
- 咬文嚼字2概要.ppt
- 咬文嚼字(用)概要.ppt
- 品名品质练习概要.ppt
- 咨询-第二章-思维方法概要.ppt
- 5.3 测量电阻 课件 教科版物理九年级上册.pptx
- 2025年安徽省芜湖市单招职业倾向性考试题库a4版.docx
- 2025年上海市艺术园区奶茶艺术主题空间打造可行性研究报告.docx
- 5.1 电流与电压、电阻的关系+5.2 欧姆定律 课件 教科版物理九年级上册.pptx
- 2025年上海市电子元器件缓蚀剂防护可行性研究报告.docx
- 2025年安徽省芜湖市事业单位招聘考试(职业能力倾向测验)题库推荐.docx
- 2025年安徽省芜湖市事业单位招聘考试(职业能力倾向测验)题库最新.docx
- 2025年安徽省芜湖市事业单位招聘考试(职业能力倾向测验)题库汇编.docx
- 2025年安徽省芜湖市事业单位招聘考试(职业能力倾向测验)题库及参考答案1套.docx
- 2025年安徽省芜湖市事业单位招聘考试(职业能力倾向测验)题库必考题.docx
文档评论(0)