- 1、本文档共11页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
篮球比赛数字记分牌的设计方案
设计题目 篮球比赛记分牌 设计要求
用PLD器件EP1K10TC100-3及7段译码显示数码管,设计一个篮球比赛记分牌,具体要求如下:
根据比赛实际情况记录两队得分,罚球进的1分,进球的2分;
记分牌要具有纠错功能,能减1分、2分功能;
利用3个译码显示管输出比赛的分;
设计过程 (包括:设计方案`上机设计与仿真结果`硬件实验方案,及实验结果`收获`和体会)
EDA技术以硬件描述语言来描述系统级设计,采用自顶向下的设计方法,并支持系统仿真和高层综合。VHDL语言具有具有很强的行为描述能力和多层次描述硬件功能的能力,是系统设计领域中使用最多的硬件描述语言之一;具有标准、规范等优势,能在设计的各个阶段对电路系统进行仿真和模拟,使设计者在系统的设计早期就能检查设计系统的功能,极大的减少了可能发生的错误,减少了开发成本。
设计方案:
利用一个D触发器,3个4位二进制全加器,一个二选一数据选择器,3个七段译码显示管组成电路,此电路具有加减、复位、显示等功能。能够满足比赛的实际要求。 评定成绩 指导教师评语 课程设计等级 目 录
1 课程设计题目`内容与要求………………………
1.1 设计内容
1.2 具体要求
2系统设计…………………………
2.1 设计思路
2.2 系统原理
3 系统实现……………………………………………
4 系统仿真……………………………………………
5硬件验证(操作)说明………………………………
6 总结…………………………………………………
7参考书目……………………………………………
一、 课程设计题目、内容与要求
1.1课程设计的题目:篮球比赛记分牌
1.2课程设计内容:
根据比赛实际情况记录两队得分,罚球进的1分,进球的2分;
记分牌要具有纠错功能,能减1分、2分功能;
利用3个译码显示管输出比赛的分;
二、 系统设计
2.1设计思路:
篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:
2.2 系统原理与设计说明
系统各个模块的功能如下:
1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。
2、4为二进制全加器电路模块实现加法计数功能。
3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。
4、二选一数据选择器电路模块 用来控制移位寄存器
5、 LED数码管驱动电路模块
三、系统实现
各模块电路的源程序如下:
1、D触发器电路模块及程序:
set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。 library ieee;
use ieee.std_logic_1164.all;
entity sync_rsdff is
port(d,clk : in std_logic;
set : in std_logic;
reset: in std_logic;
q,qb : out std_logic);
end sync_rsdff;
architecture rtl_arc of sync_rsdff is
begin
process(clk)
begin
if (clkevent and clk=1) then
if(set=0 and reset=1) then
q=1;
qb=0;
elsif (set=1 and reset=0) then
q=0;
qb=1;
else
q=d;
qb=not d;
end if;
end if;
end process;
end rtl_arc;
移位寄存器模块电路及程序:
library IEEE;
use IEEE.std_logic_1164.all;
entity shft_reg is
port (
DIR : in std_logic;
CLK : in std_logic;
CLR : in std_logic;
SET : in std_logic;
CE : in std_logic;
LOAD : in std_logic;
SI : in std_logic;
DATA : in std_logic_vector(3 downto 0);
data_out : out std_logic_vector(3 downto 0)
);
end shft_reg;
architecture shft_reg_arch of shft_reg is
signal TEMP_data_out : std_logic_vector(3 downto 0);
begin
process(CLK)
文档评论(0)