半定制设计——74ls169计数器功能模块.doc

  1. 1、本文档共31页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
半定制设计——74ls169计数器功能模块

数字集成电路课程设计 实践教学要求与任务: 74ls169计数器: (1)74LS169计数器功能模块; (2)工作时钟10kHz即可; (3)使用SMIC工艺库smic18mm_1P6M完成设计; (4)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、物理验证等。 工作计划与进度安排: 第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-5天:完成设计,经指导老师验收后进入模块电路设计(验收设计文档); 第6-9天:完成模块电路代码输入,并完成代码的仿真(验收代码与仿真结果); 第 9-10天:约束设计,综合(验收约束与综合结果); 第11-12天:布局布线,完成版图(验收版图结果); 第13-14天:物理验证、后仿真,修改设计(验收物理验证结果和时序仿真结果); 第15天:整理设计资料,验收合格后进行答辩。 摘 要 计数器74ls169 为可预置的 4 位二进制同步加/减计数器。当置入控制端(LOAD)为低电平时,在CLOCK上升沿作用下,输出端dout(QA-QD)与数据输入端din(A-D)相一致。169 的计数是同步的,靠CLOCK同时加在 4 个触发器上而实现。当ENP和ENT均为低电平时,在CLOCK上升沿作用下QA-QD同时变化,从而消除了异步计数器中出现的计数尖峰。当计数方式控制(U/D)为高电平时进行加计数,当计数方式控制(U/D)为低电平时进行减计数。169 有超前进位功能。当计数溢出时,进位端(RC OUT)输出一个低电平。 Design Compiler下进行逻辑综合和脚本相关约束,然后根据DC综合后的网表,使用Encounter进行自动布局布线,再使用ModelSim进行功能后仿真、分析后仿真波形得出结果。完成了功能和时序仿真,从而保证了设计的功能与时序的正确性。 关键词 计数器;Verilog HDL;tcl;仿真; Design Compiler;逻辑综合;Encounter;物理验证;后仿真; 目 录 引 言 1 1 功能描述及电路设计 2 1.1 电路性能 2 1.2 电路接口 2 1.3 电路结构 3 2功能仿真 4 2.1 仿真的功能列表 4 2.2 顶层仿真平台与激励 4 2.3 电路功能仿真结果 5 3约束及逻辑综合 7 3.1 约束策略 7 3.2 脚本 7 3.3 综合文件 9 3.4 综合环境 10 3.5 综合过程 10 4 布局布线 13 4.1 文件准备 13 4.2 布局布线过程 14 4.3 物理验证 16 5 后仿真 18 6 总结 19 参考文献 20 附录一 21 附录二 23 附录三 26 附录四 27 引 言 集成电路是电子工业的基础。以集成电路为基础的电子信息产业的发展,对国民经济发展、产业技术创新能力的提高及现代国防建设都具有极其重要的作用。而集成电路设计业则是集成电路产业链的核心。随着集成电路技术的发展,集成电路设计的手段也经历了从手工设计到计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)。在20世纪90年代开始逐步发展到电子设计自动化(Electronics Design Automation,EDA)阶段。CAD/EDA工具已经成为当今集成电路设计和制造流程中的必不可少的部分[1]。 Synopsys是为全球集成电路设计提供电子设计自动化(EDA)软件工具的主导企业。为全球电子市场提供技术先进的IC设计与验证平台,致力于复杂的芯片上系统(SoCs)的开发。同时,Synopsys公司还提供知识产权和设计服务,为客户简化设计过程,提高产品上市速度。 1 功能描述及设计 1.1 四位二进制同步计数器74LS169真值表见下图1.1.其中LD为置数端,当其为低电平时,输出置成d1,d2,d3,d4。CTt(ENt)和CTp(ENp)为两个计数控制端。U/D为计数控制方式,当其为高电平时进行加计数,当其为低电平时进行减计数。RPPLE CARRY OUT为进位端,当计数溢出时,其为低电平。 表1.1真值表 输 入 输 出 LD ENp ENt U/D Clk D0 D1 D2 D3 Q0 Q1 Q2 Q3 0 x x x 1 d0 d1 d2 d3 d0 d1 d2 d3 1 0 0 1 1 x x x x 加计数 1 0 0 0 1 x x x x 减计数 1 1 x x x x x x x 保 持 1 x 1 x x x x

文档评论(0)

sandaolingcrh + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档