VHDL程序设计教程(4)山东大学 曾繁泰.pptVIP

VHDL程序设计教程(4)山东大学 曾繁泰.ppt

  1. 1、本文档共63页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
[例4-17]仿真调试程序的断言语句用法 * 山东大学 曾繁泰 * ARCHITECTURE example of assert OF Simulation IS BEGIN ASSERT False --断言条件 REPORTThis state ment will be executed only once at the beginning of simulation --报告信息 SEVERITY NOTE; --出错级别 P2: PROEESS --等价被动进程无敏感表 BEGIN ASSERT False --断言条件 REPORT This Statement Will be executed only once at the beginning of simulation --报告信息 SEVERITY NOTE; --出错级别 WAIT; --由WAIT同步 END PROCESS P2; END ARCHITECTURE example Of assert; 作业 * 山东大学 曾繁泰 * 上机编程: 题3,题4,题5,题6,题7,题8 注:量力而行,保证质量,尽量多练习。 4.3.3 LOOP语句 * 山东大学 曾繁泰 * LOOP语句使程序能进行有规则的循环,循环次数受迭代算法控制。LOOP语句常用来描述位片逻辑及迭代电路的行为。 1. FOR - LOOP语句 2. WHILE - LOOP语句 3. NEXT - LOOP语句 4. EXIT - LOOP语句 4. EXIT - LOOP语句 * 山东大学 曾繁泰 * FOR - LOOP语句的书写格式为: [标号]:FOR 循环变量 IN 离散范围 LOOP 顺序处理语句 END LOOP [标号]; 上述格式中,循环变量的值在每次循环中都会发生变化。离散范围表示循环变量在循环过程中的取值范围。 [例4-10]FOR-LOOP语句的应用 * 山东大学 曾繁泰 * ENTITY parity _ check IS PORT(a:IN STD_LOGIC_VECTOR (7 DOWNTO 0); y:OUT STD_LOGIC); END parity _ check; ARCHITECTURE example _ LOOP OF parity _ check IS BEGIN P1:PROCESS(a) VARIABLE tmp : STD_LOGIC; BEGIN tmp :=0; FOR i IN 0 TO 7 LOOP tmp := tmp xor a(i); END LOOP; y = tmp; END Process P1; END example _ LOOP; 2. WHILE - LOOP语句 * 山东大学 曾繁泰 * WHILE - LOOP语句书写形式为: [标号]:WHILE 条件 LOOP 顺序处理语句 END LOOP [标号]; 在WHILE-LOOP形式的循环语句中,条件为真执行顺序处理语句;条件为假结束循环。 [例4-11]WHILE-LOOP语句的应用举例 * 山东大学 曾繁泰 * LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY parity_check IS PORT(a: IN STD_LOGIC_VECTOR(7 DOWNTO 0); y: OUT STD_LOGIC); END parity_check; ARCHITECTURE example_while OF parity_check IS BEGIN P1: PROCESS(a) VARIABLE tmp: STD_LOGIC; VARIABLE i : integer; BEGIN tmp :=0; i := 0; pari: WHILE (i 8) LOOP tmp := tmp XOR a(i); i := i+1; END LOOP pari; y = tmp; EN

文档评论(0)

1243595614 + 关注
实名认证
文档贡献者

文档有任何问题,请私信留言,会第一时间解决。

版权声明书
用户编号:7043023136000000

1亿VIP精品文档

相关文档