基于quartus II的交通灯设计.pdf

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于quartus II的交通灯设计

天津大学自动化学院 电 子 课 程 设 计 报 告 专业:电气工程及其自动化 年级: 班级: 学号: 姓名: 同组实验人: 交通灯电路设计 一、设计任务及要求 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅 速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁 止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线内。 该交通灯利用Quartus II完成原理图设计和电路仿真,并在GW48-CK实验开发 系统上进行实验验证。 1. 设计任务 (基本任务) 1) 交通灯由绿、黄、红灯组成;绿灯亮表示放行,红灯亮表示禁行。 2) 主、支干道交替通行,主道每次放行 (绿灯常亮)30秒,支道每次放行20 秒;当绿灯变红灯时,黄灯先常亮5秒,此时另一干道上的红灯不变。 3) 倒计时数字显示提示时间。具体要求:主、支干道通行时间及黄灯亮的时 间均以秒为单位作减计数。 2. 设计任务 (附加任务) 1) 当绿灯变红灯过程中,倒计时最后5秒绿灯闪烁,闪烁频率1Hz 。 2)要求主、支干通道通行时间及黄灯亮的时间均可在0~99S 内任意设定。 3. 设计要求 根据技术指标,选择元件,设计出原理电路图。 二、 原理框图 - 1 - 三、 单元电路设计 1. 计时器 (减法计数器) 以两个74ls190级联构成两位十进制计数器来实现0~99 内的减法计数。给 两片74190 的加/减计数控制信号端DNUP加高电平,使计数器工作在减计数状 态。低位计数器使能端接地,用同一个时钟信号对两个计数器进行计数控制。 低位计数器最大最小端MXMN经过非门连接到高位计数器的使能端。当低位 计数器到零时,其最大最小端信号由原来的低电平变为高电平,经由非门取反 后输出到高位使能端。此时高位使能端信号由高电平变为低电平,高位计数器 开始工作。下一个时钟上升沿到来时,两个计数器同时进行减一计数,低位计 数器最大最小端信号由高电平变为低电平,进而使高位计数器使能端信号变为 高电平,即 “锁”住了高位计数。直到低位计数器再次减到零,高位计数器才 有机会再次工作。 两个计数器的置位端LDN都由高位计数器的行波时钟输出端RCON 的信号与 硬件上高低电平发生器的信号经过与门进行控制。电平发生器信号为零时,计 数器重复置数,可以看作使计数器暂停工作。假设高位计数器计数为一,低位 计数器为零,下一个时钟信号上升沿到来时,低位计数器变为九,高位计数器 变为零,低位计数器最大最小端MXMN信号由高电平变为低电平,高位计数器被 - 2 - “锁”,所以时钟信号的下降沿无法使高位计数器RCON端产生脉冲信号。假设 高位计数器计数为零,低位计数器计数为一,下一个时钟上升沿到来,低位计 数器计数为零,使高位计数器可以工作,时钟下降沿到来时,高位计数器RCON 端发出一个负脉冲,使两个计数器置数,换句话说,两个计数器都减到零时可 以置数。 由实验要求可知红灯的计时器计时时间总是比绿灯的长5s,而绿灯的计时 时间恰好都为整十数,即置数时绿灯的低位计数器总是置入0。利用这一特点, 将红灯的减法计数器的低位置入数固定为5,高位置数端连绿灯计数器的高位置 数端,即可使红灯计时器的计时时间总等于另一路黄灯和绿灯的计时时间之和。 2.状态控制器 (JK触发器) 由设计要求可知,状态控制电路一共需要控制主干道绿黄红灯和支干道绿黄 红灯的亮灭,一共需要有六种状态。但是经过分析后发现,可以通过每条道路 上的黄绿灯的控制信号相或取反来控制红灯 (即一条道路上黄绿灯都熄灭时, 红灯一定处于亮的状态)。所以实际上只需要控制两条道路上各自的黄绿灯亮灭 即可,即触发电路一共需要四种状态。使用两个JK触发器级联来实现四种状态 - 3 - 的控制转换

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档