EDA数字逻辑三人表决器.docVIP

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA数字逻辑三人表决器

实验名称: 三人表决器 实验报告 成员:张杰 110317114 陶柳 110317095 明凯 110317102 张小伟 110317096 授课老师:李珈 1.实验目的 学会组合逻辑电路的分析和设计方法。 2.理论准备 1)组合逻辑电路的分析方法 给定逻辑电路→输出逻辑函数式 一般从输入端向输出端逐级写出各个门输出对其输入的逻辑表达式,从而写出整个逻辑电路的输出对输入变量的逻辑函数式。必要时,可进行化简,求出最简输出逻辑函数式。 列真值表 将输入变量的状态以自然二进制数顺序的各种取值组合代入输出逻辑函数式,求出相应的输出状态,并填入表中,即得真值表。 分析逻辑功能 通常通过分析真值表的特点来说明电路的逻辑功能。 2)组合逻辑电路的设计方法。 进行逻辑抽象,列出真值表。 注意:逻辑抽象时要给出每个变量的含义及01的含义 根据真值表,写出逻辑函数表达式。 将输出逻辑函数化简 据输出逻辑函数画逻辑图。 3.实验内容 设计一个三人(用A、B、C代表)表决电路。要求A具有否决权,即当表决某个提案时,多数人同意且A也同意时,提案通过。用与非门实现。 4.设计过程 1)分析设计要求,列出真值表。设A、B、C三人表决同意提案时用1表示,不同意时用0表示;Y为表决结果,提案通过用1表示,通不过用0表示,同时还应考虑A具有否决权。由此可列出表3所示的真值表。 表3 三人表决器的真值表 输入 输出 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 2)根据真值表,写出逻辑函数表达式。 Y= 3)将输出逻辑函数化简后,变换为与非表达式。 Y= 4)据输出逻辑函数画逻辑图。根据上式可画出图2所示的逻辑图。 图2 三人表决器逻辑图 5)在MaxplusII中输入原理图、编译、仿真、下载。 假如采用结构图___,引脚信息如下图所示 实验板位置 信号 通用目标器件引脚名 EP1K30TC144引脚号 键7 a PIO13 27 键8 b PIO12 26 键6 c PIO11 23 发光管1 f PIO16 30 5.实验结果及分析 1)仿真后,波形图如下所示: 图3 三人表决器仿真波形图 2)硬件测试结果:将输入变量A、B、C的状态,观察输出端的变化,并将结果记录到表4中。 表4 三人表决器实验结果 输入 输出 键8(b) 键7(a) 键6(c) 发光管D1(f) 低 低 低 低 低 低 高 低 低 高 低 低 低 高 高 高 高 低 低 低 高 低 高 低 高 高 低 高 高 高 高 高 3)结果分析 A具有否决权。当A输入低电平,即A不同意时,无论B,C都为高电平还是低电平,提案不能通过;当A输入高电平,即A同意时,只要B、C只要有一个输入高电平,即只要有一人同意,提案通过。 6.遇到问题及解决办法 1)问题:文件无法下载到目器件中。 解决方法:没有再编译一次,把引脚信息导进去。 7.思考题 用或非门如何实现上述电路? 答:三人表决器逻辑表达式 画出逻辑图,如图4。 图4 用或非门实现三人表决器逻辑图 再进行编译仿真并下载。

文档评论(0)

178****9325 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档