汽车尾灯控制器的设计EDA课程设计.doc

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
汽车尾灯控制器的设计EDA课程设计

EDA课程设计报告书 课题名称 汽车尾灯控制器的设计 姓 名 谢亨 学 号 0812201-48 院 系 物理与电信工程系 专 业 电子信息工程 指导教师 周来秀 讲师 2011年 6月10日 设计任务及要求: 设计一个汽车尾灯控制器,功能及要求如下: (1)汽车正常行驶时,指示灯不亮。 (2)汽车右转时,右侧的指示灯亮。 (3)汽车左转时,左侧的指示灯亮。 (4)汽车刹车时,左右两侧的指示灯同时亮。 (5)汽车在雾中行驶时,左侧的指示灯不断闪烁。 (6)汽车在倒车时,右侧的指示灯不断闪烁。 指导教师签名: 年 月 日 二、指导教师评语: 指导教师签名: 年 月 日 三、成绩 验收盖章 年 月 日 汽车尾灯控制器的设计 谢 亨 (湖南城市学院物理与电信工程系电子信息工程专业,湖南益阳,41300) 1设计目的 (1)学会在QuartusⅡ环境中运用VHDL语言设计方法来构建具有一定逻辑功能的模块,并能运用原理图设计方法完成顶层设计。掌握所学的课程知识基本单元电路的综合设计应用。通过对的设计,巩固和综合所学,提高设计能力 图3.1 整体设计方框图 整个系统由4个模块组成:主控制模块,左侧控制模块,雾、倒车控制模块,右侧控制模块和显示模块。其中主控制模块主要包括转向控制、雾中行驶控制和倒车控制, CLK为时钟信号。左侧控制模块主要包括对左侧转向和刹车指示灯的控制。右侧控制模块主要包括对右侧转向和刹车指示灯的控制。雾、倒车控制模块主要包括对雾中行驶指示灯和倒车指示灯的控制。显示模块为各状态的指示灯。 汽车尾灯控制器工作过程:当汽车正常行驶时所有指示灯都不亮;汽车右转弯时,汽车右侧的指示灯RD1亮;汽车左转弯时,汽车左侧的指示灯LD1亮;刹车时,汽车右侧的指示灯RD2和左侧的指示灯LD2同时亮;汽车在雾中行驶时,左侧的指示灯LD3不断闪烁。汽车在倒车时,右侧的指示灯RD3不断闪烁。各个状态之间相互不影响。 4硬件电路的设计 根据汽车尾灯工作过程,设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车控制信号BRAKE,雾中行驶控制信号FOG,倒车控制信号BACK和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3来实现尾灯控制器的功能。系统的整体设计原理图如图4.1所示。 图4.1 整体设计原理图 系统的工作原理及过程:当汽车正常行驶时所有指示灯都不亮;汽车在右转弯时,右转弯控制信号RIGHT为1,此时汽车右侧的指示灯RD1亮;汽车在左转弯时,左转弯控制信号LEFT为1,此时汽车左侧的指示灯LD1亮;汽车在刹车时,刹车控制信号BRAKE为1,此时汽车右侧的指示灯RD2和左侧的指示灯LD2同时亮;汽车在雾中行驶时,雾中行驶控制信号FOG为1,此时汽车左侧的指示灯LD3不断闪烁(闪烁的频率与时钟CLK的频率相等)。汽车在倒车时,倒车控制信号BACK为1,此时汽车右侧的指示灯RD3不断闪烁(闪烁的频率与时钟CLK的频率相等)。在汽车尾灯控制器工作过程中各个状态之间无影响。 5 软件设计 5.1 主控制模块 主控制模块master如图5.1所示,LEFT为汽车左转弯控制信号LEFT,RIGHT为汽车右转弯控制信号,BRAKE为刹车控制信号,FOG为雾中行驶控制信号,BACK为倒车控制信号,上述信号均在高电平时有效。LP为左转弯输出脉冲:当LEFT为1,CLK由0往1跳变时LP输出为1。RP为右转弯输出脉冲:当RIGHT为1,CLK由0往1跳变时RP输出为1。LR为左侧控制模块和右侧控制模块的使能信号(低电平有效)当LEFT和RIGHT同时为1时,LR输出为1,此时左右两侧控制模块均不起作用。F为雾中行驶输出脉冲:当FOG为1,CLK由0往1跳变时F输出为1。B为倒车输出脉冲:当BACK为1,CLK由0往1跳变时B输出为1。BRAKE_LED为刹车输出脉冲:当BRAKE为1,CLK由0往1跳变时BRAKE_LED输出为1。该模块各输入输出信号之间无影响。 图5.1 主控制模块图 主控制模块由VHDL程序来实现,下面是其中的一段VHDL代码: ENTITY master IS PORT (LEFT,RIGHT,BRAKE,FOG,BACK: IN STD_LOGIC; --端口定义 LP,RP,LR,F,B,BRAKE_LED:OUT STD_LOGIC); END; ARCHITECTURE

文档评论(0)

yurixiang1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档