EDA___课程设计__数字密码锁电路.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA___课程设计__数字密码锁电路

《电子设计自动化》 课程设计 题目: 数字密码锁电路 院(系) 信息科学与工程学院 专 业 通 信 工 程 级 别 班 级 学 号 姓 名 任课老师 摘要 本次设计是设计一个数字密码锁电路,即串行输入6位十进制数,同时输出在LED数码管上显示,并且有密码正确与错误的提示,如果连续3次输入错误,则在15分钟内不能开锁。为了方便、有效的实现该功能,此次设计定制了LPM宏功能模块,通过各个模块之间的有机组合,最终完成数字密码锁电路的设计。 系统设计 设计要求:6位十进制数字密码,串行输入;有开锁和错误指示(LED);如果连续输错三次,则一刻钟内不能再开锁。 系统设计方案: 第一部分:首先设计一个十进制计数器和6进制计数器cnt6,其中十进制部分的作用是选择0~9中的一个输入到密码锁中,cnt6(初始值是000,也可以通过复位键将其置零)的功能控制6位密码依次输入,其作用相当于确认键,即当cnt6的值为000时,输入第一个密码,并在LED数码管上显示,当cnt6的值是001时,输入第二个密码,以此类推当cnt6的值是101是输入第六个密码,然后当cnt6的值是110是输入的6位密码与内置密码比较,如果密码相同,led_suc亮表示密码输入正确,否则led_nsuc亮,表示密码输入错误,此时通过复位键可重新输入,如果连续3次输入错误,则会激活第二部分的电路模块。 第二部分:此部分包括一个3进制计数器cnt3和一个十五分钟的倒数计时器cnt(开始时处于待机状态)。其中cnt3的功能是记录第一部分密码输入错误的次数,如果密码联系3次错误,其输出一个信号反馈到第一部分,使第一部分不能继续输入密码,同时倒数计时器cnt开始工作,知道倒数计时回到零时,解除cnt3的反馈信号,第一部分可以输入密码,同时,倒数计时器回到待机状态。 软件设计 软件设计平台:QuartusⅡ,开发工具:EDA试验箱,芯为EP2C5T144C8 各部分的程序及对应的LPM模块 Cnt6模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt6 is port(clk,rst:in std_logic; led:buffer std_logic_vector(2 downto 0)); end cnt6; architecture one of cnt6 is begin process(clk) begin if rst=1 then led=000 ; elsif clkevent and clk =1 then led=led+1; if led=111 then led=000; end if; end if; end process; end; LPM模块: Cnt10模块: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt10 is port(clk:in std_logic; led:buffer std_logic_vector(3 downto 0)); end cnt10; architecture one of cnt10 is begin process(clk) begin if clkevent and clk =1 then led=led+1; if led=1001 then led=0000; end if; end if; end process; end; LPM模块: 密码输入模块: library ieee; use ieee.std_logic_1164.all; use

文档评论(0)

xcs88858 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档