第1章 数字信号处理设计导论.ppt

  1. 1、本文档共65页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第1章 数字信号处理设计导论

第1章 数字信号处理技术概要;本章概要; 上世纪80年代,首次出现了专门用于数字信号处理的 数字信号处理器DSPs,随着半导体工艺的不断发展, DSPs的性能不断提高,价格不断降低。DSP以其高可靠 性、良好的可重复性,以及可编程性已经在消费市场和工 业市场中广泛地使用。DSP处理器发展的趋势是结构多样 化,集成单片化用户化,开发工具更完善,评价体系更全 面更专业。 随着FPGA制造工艺的不断发展,FPGA已经从传统的 数字逻辑的设计,发展到用于进行数字信号的处理和嵌入 式系统的设计中。这就使得数字信号处理技术向着多元化 实现的方向发展。;数字信号处理技术主要应用方面; ;数字信号处理算法主要包含内容; 对平台的选择取决于下面的因素: 1) 所需要实现的功能; 2) 处理性能的要求(速度,精度等); 3) 可重复编???的能力; 4) 生产成本; 5) 可用的设计资源; 6) 所需要的设计时间等。;数字信号处理实现方法;数字信号处理实现方法; 下面以一个数字FIR滤波器的实现为例,来说明不同 实现方法所能达到的处理性能。 1)使用DSP通过优化设计执行乘-累加(MAC)操 作,N个MAC中的每一个均需要被顺序执行,因此可达到 的最大执行速率大约为fclock?MHz,其中fclock为DSP的最 高时钟频率(假定可以在单处理器周期内执行一个MAC 操作)。 2)而使用ASIC或FPGA,可以全并行地实现滤波器, 其中N个MAC操作被并行执行(而不是顺序执行)。对于 同样的fclock,滤波器执行速度可以快N倍。 ;数字信号处理实现方法;FPGA的技术发展;FPGA的技术发展; 每个FPGA系列具有不同的规格、封装和速率等级。 选用器件的类型取决于设计目标/应用需求等诸多因素。 下面因素通常影响设计人员对FPGA类型的选择:面积; 数据/采样率;输入/输出与相关的数据速率;需要的存储 空间;是否需要嵌入式处理器;成本。 Xilinx的Virtex高端FPGA产品,目的是在单个器件上 实现大型系统,这类FPGA芯片性能很高,但由于成本原 因,不适合大批量的应用;Xilinx的Spartan低端FPGA产 品,性能虽然不及Virtex系列,但由于成本相对较低,因 此可用作大批量的应用。;FPGA的种类及选择; MIPS(每秒百万次)指标通常被用来比较 DSP 处理器的 性能,但它不能用于定量FPGA的全部性能。这主要是由 于: 1.由于DSP算法在FPGA上可通过不同的方式灵活实 现,所以其性能很难被准确的估计; 2.Xilinx器件上的乘加(MAC)模块,在以几百MHz的 时钟速率运行时,其性能可以达到上百GMAC/秒; 3.FPGA制造商通常给出的最大的每秒乘加次数是指 使用了可被用作乘法的所有的逻辑单元来得出的数值(这 不能反映FPGA上实现的典型系统的性能);;FPGA的性能及灵活性; 这种灵活性是根据面积和速度呈反比的关系来确定 的。如果必须要快速执行10个MAC,FPGA可在1个时钟 周期内并行地执行它们,但是这样会消耗大量的芯片资 源(面积)。如果10次MAC允许以低速度执行,则 FPGA可以串行地执行,因此,FPGA芯片内逻辑资源的 使用量减少到原来的1/10,但却需要10个时钟周期来执 行MAC操作。 当用FPGA实现DSP时,速率和面积可以相互权衡, 而DSP处理器并不具备这种功能。需要说明,不会有人 实现过只包括乘法器的FPGA设计。厂商提供的数据仅仅 是想指明这些器件潜在的性能以及对比DSP处理器的优 势.;FPGA的性能及灵活性; 图1.1 DSP和FPGA在灵活性和性能方面的比较; FPGA芯片主要包括下面的三个部分: 1) 可重构逻辑模块(高达106); 2) 输入/输出模块; 3)可编程连线资源。 下面对各模块进行简单介绍,后面将更详细的介 绍这些内容。;FPGA的公共资源 --逻辑模块; 查找表(Look-up Tables,LUT)是逻辑实现的主要 部件。查找表主要完成下面的功能: 1.执行一个输入的组合功能(无反馈)。 2.无论输入多么复杂,一个4输入LUT总会执行输入 数小于或等于4的函数功能。 3.地址输入选择存储单元中的逻辑函数。

文档评论(0)

djdjix + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档