02选择语句.ppt

  1. 1、本文档共32页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
02选择语句

1516 1517 1518 1519 1521 1522 1523 1524 1525 1527 1528 1529 网站地址: :8080/bs_oj 一.关系运算符与关系表达式 1、关系运算符: (小于) (大于) = (小于等于) = (大于等于) = = (等于) != (不等于) 注 意: (1) = = 与 =(a=5; 赋值运算; a= =5;判断是否相等) (2) , , = , =的优先级大于= =,!= (3)算术运算符的优先级高于关系运算符的优先级; 关系运算符的优先级高于赋值运算符的优先级; 如:ca+b 等效于 c(a+b) ab==c 等效于 (ab)==c 不知道优先级的高低,万能的方法是多加括号! 2、关系表达式:用关系运算符将表达式连接起来称为关系表达式。其值非真即假。在C++语言中,用非0代表真,用0表示假。关系表达式的结果只有两个,真为1,假为0。 一般格式为:表达式1 关系运算符 表达式2 如:ab、a+b!=c+d、’a’’b’等都是合法的关系表达式。 说明: (1)关系表达式的值:如果关系表达式成立,其值为1,表示“真”;否则是0,表示“假”。 (2)关系运算符两侧的表达式可以是算术表达式、关系表达式、逻辑表达式、赋值表达式或字符表达式。 一.关系运算符与关系表达式 【例题】输出关系表达式的运算结果。 #includeiostream using namespace std; int main() { int a=10,b=20; cout(ab) (a=b) (a!=b)endl; cout(a*b=a+b) (a*b==a+b) (a*ba+b)endl; cout(ab) (ab)endl; system(pause); return 0; } 运行结果为: 0 1 0 0 1 0 1 二.逻辑运算符与逻辑表达式 1.逻辑运算符:与;或 ||;非!; 重庆籍的男生 重庆籍的或所有男生 非重庆籍的 重庆籍男生 例如:两个条件:重庆籍 男生 重庆籍||男生 !重庆籍 注意:优先级 !??| | !?算术?关系?逻辑?赋值?逗号 53 2 || 8-34-!0 3.不可写为 1x10 应为:1x x10 2.作为条件,所有非0值均为真;作为结果,只有0或1两种。 【例题】判断某年是否为闰年 1)能被400整除 2)能被4整除,不能被100整除 (2200年不是) x%400= =0 x%4= =0 x%100!=0 (x%400= =0) || (x%4= =0x%100!=0) 判断一个数是否为偶数,应如何表示? (a%2==0) 1=a=10,如何表示? (a=1a=10) 判断一个字符是否为小写字母,应如何表示? (ch=‘a’ch=‘z’) 三.选择程序设计 所谓选择结构,就是根据不同的条件,选择不同的处理块。 (1)基本形式1:if(表达式)语句; 其执行过程是:首先计算表达式的值,若不为0,表示条件判断为真,则执行语句;否则,不执行语句,如图所示。 三.选择程序设计 例题1:求x的绝对值。 #include iostream using namespace std; int main() { system(“pause”); return 0; } int x; cinx; if (x0) x=-x; coutxendl; (2)基本形式2 if (表达式)语句1; else 语句2; 其执行过程是:首先计算表达式的值,若不为0,表示条件判断为真,则执行语句1,否则执行语句2。 三.选择程序设计 例题1:求x的绝对值。 #include iostream using namespace std; int main() { system(“pause”); return 0; } int x; cinx

文档评论(0)

yan698698 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档