- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
简易数控稳压电源设计报告
课题名称: 简易数控稳压电源
简易数控稳压电源
一、设计目的
(1)培养综合性电子线路的设计能力。
(2)掌握简易数控稳压电源的设计、组装和调试方法。
二、设计内容及要求
1.基本要求
(1)输出电压:范围0~+9.9V,步进0.1V,纹波不大于10mV;
(2)输出电流:500mA;
(3)输出电压值由数码管显示;
(4)由“+”、“-”两键分别控制输出电压步进增减;
(5)为实现上述几部件工作,自制一稳压直流电源。
2.发挥部分
(1)输出电压可预置在0~9.9V之间的任意一个值;
(2)用自动扫描代替人工按键,实现输出电压变化(步进0.1V不变);
3.简易数控直流电源总体电路设计及方案论证:
(1)【总体电路设计】
(2)【方案论证】
从DAC0832说起,通过DAC0832的数模转换功能,将已知的一个二进制数转换成一个模拟的电压信号,从而得到一个理想电源,转换成的模拟电压U=U0*H/256(U0为DAC0832的基准电压,一般为+5V;H为将二进制数转换成十进制的数值;因为DAC0832为一个8位的数模转换器,所以需要比上256,即28)。
其次是我们的运放电路,我们通过一片NE5532将转换后的模拟电压放大到我们需要的一个数值,但是因为电流要求最大500mA,通过运放后我们的电流达不到最大值,所以还需要通过大功率三极管将电流放到到理想值,一级电流放大仍然达不到我们最大电流值,所以我们采取了两级电流放大,经过计算,通过两级电流放大后,电流的最大值将达到5A之大,因此若是这样将会损坏我们的电路,所以我们又在两级电流放大的前后加了一个过流保护电路,右图则为我们的过流保护电路图,我们利用三极管的导通特性,只要基极和发射极之间的电压大于0.7V则三极管导通,所以我们就在输出端加了一个1欧姆的电阻,并且将三极管的基极与集电极并在电阻两端,因此我们就可以很容的计算出,当电流低于700mA时,电阻两端分得的电压就小于0.7V所以我们的两级电流放大处于工作状态,一但当电流高于700mA时,电阻两端分得的电压就高于0.7V,因而三极管就导通了,电流也就不会流过两级电流放大电路再次进行放大了,从而达到一个过流保护的作用。
最后就是我们的控制电路了,我们利用74LS192芯片,实现一个加减法器,把74LS192芯片的输出端接在DAC0832的输入端,作为DAC0832的输入信号,从而达到一个可调的效果,因为DAC0832是一个8位的数模转换器,所以我们采用了两片74LS192芯片制作成了一个100进制的控制电路。
4.简易数控直流电源单元电路设计及调试:
(1)【控制电路】
图为我们用EDA制作的一个控制电路,因为只是单纯的使用74LS192芯片的话,那么我们只能实现0——99之间的递加和递减,所以我们在输出端加了一个乘法器将此范围进行加倍,从而实现我们想要的在0——198之间的递加和递减,乘法器的程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity chengfa is
port(bo ,po:in natural range 15 downto 0;
fout:out natural range 255 downto 0);
end;
architecture one of chengfa is
begin
fout=(bo+po*10)*2;
end;
经过EDA的仿真,我们达到了加倍的效果了,波形如下图:
从输出Y可以看出,原先的0.1.2.3.4....变成了0.2.4.6.8.....所以输出达到了加倍;
(2)【转换电路】
转换电路是利用DAC0832的数模转换功能将二进制数字信号转换成我们所需要的模拟电压信号,转换电路图如下:
我们通过在二进制数据输入端认为的加上一个信号,通过测量模拟电压输出端的电压大小,得知数据符合U=U0*H/256(U0为DAC0832的基准电压,一般为+5V;H为将二进制数转换成十进制的数值;因为DAC0832为一个8位的数模转换器,所以需要比上256,即28)。比如说输入信号,测得输出电压为3.86V,理论计算值为3.8671875,所以我们的测量值为正确的。
【运放及电流放大电路】
因为转换后的电压达不到9.9V电压,所以实际电路中我们需要用一片NE5532将输出的电压信号反向后然后进行放大至9.9V,放大倍数 β= U出/ U0(U0为反馈电压,U出放大后的输出电压),而U出/ U0又等于反馈电阻R1+R2/R2,所以9.9V=
文档评论(0)