数据库 ch9_8_2m.ppt

  1. 1、本文档共35页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数据库 ch9_8_2m

?未经作者允许,请勿发布该文档! yingqichen@sjtu.edu.cn VHDL Simulation Synthesis Agenda Design Tips Example Analysis Speed Bottleneck in Sequential Logic Balance of The Combinatorial Logic Blocks Pipeline For Speed Save Synthesis Time Drive Problem? Shadow Register Drive Problem? Buffer Control Delay Setup Hold Time Maybe Too Fast To Satisfy Setup/Hold Time Extra Delay Between DFFs Clock Enable Clock Skew Bad Clock Good Clock Ripple Clock Parallel Clock Glitch (1) Glitch (2) Asynchronies Clock (1) Asynchronies Clock (2) Asynchronies Clock (3) Retiming (1) Retiming (2) State Machine Model A Better State Machine Models Dissimilar FFs (Bad Code) Dissimilar FFs (Good Code) Parentheses Speed Make It Simple Case-when HW Unintentional Latches If-else-then ? HW * * ? ? D Q CLK D CLK tsetuo thold Clock Input Q_A/D_B Q_B Hold time for D_B ? D Q Enable D Q Enable Synchronized by CLK_B Edge detection is synchronized by 20M_CLK library ieee; use ieee.std_logic_1164.all; entity badFFstyle is port ( clk : in std_logic; rst_n : in std_logic; d : in std_logic; q2 : out std_logic); end badFFstyle; architecture rtl of badFFstyle is signal q1 : std_logic; begin process (clk) begin if (clkevent and clk = 1) then if (rst_n = 0) then q1 = 0; --q2??? else q1 = d; q2 = q1; end if; end if; end process; end rtl; library ieee; use ieee.std_logic_1164.all; entity goodFFstyle is port ( clk : in std_logic; rst_n : in std_logic; d : in std_logic; q2 : out std_logic); end goodFFstyle; architecture rtl of goodFFstyle is signal q1 : std_logic; begin process (clk) begin if (clkevent and clk = 1) then if (rst_n = 0) then q1 = 0; else q1 = d; end if; end if; end process; process (clk) begin if (clkeve

文档评论(0)

ligennv1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档