QINQ典的型配置用例.pdf

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
QINQ典的型配置用例

QinQ 典型配置用例 基本 QinQ 实现二层 VPN 业务 拓扑图 企业 A 和企业 B 有办公地点,每个办公地点都有自己的网络。如下图所示, Customer A1 、Customer A2 、Customer B1、Customer B2 分别为企业用户 A 、企业用户 B 所在网络的边缘设备。Customer A1 和 Customer B1 通过服务提供商边缘设备 Provider A 接入到公网,Customer A2 和 Customer B2 通过服务提供商边缘设备 Provider B 接入到公网。 Customer A1-A2 使用的办公网络VLAN 范围为VLAN1-100 ,Customer B1-B2 使用的办公网络VLAN 范围为 VLAN1-200 。 Provider A 和 Provider B 之间为其他厂商的设备,其 TPID 值为 0x9100 。 图 1 基本 QinQ 实现二层 VPN 业务应用拓扑 应用需求 服务提供商为企业 A 和企业 B 提供 VPN 服务,具体要求如下: 1、两个企业的数据在传送至对端时可以保留原有VLAN 信息 2、两个企业相同VLAN 编号的数据在服务提供商网络中传输时不会产生冲突 配置要点 1、下连用户网络的数据无需区分,在服务提供商边缘设备(本例为 Provider A 和 Provider B) 上启用基本 QinQ 即能满足需求。 2、锐捷交换机的TPID 值与其他厂商不一致,需在在服务提供商边缘设备(本例为 Provider A 和 Provider B)Uplink 接口上将 TPID 值调整为与第三方设备一样的值。 1、 在 QinQ 配置模型中,当边缘设备连接服务商网络的上链口或服务提供商设备之 间相互连接的的接口为Trunk port、Hybrid port 的时候,请避免将Trunk port 或 Hybrid port 的 Native vlan 设置为 tunnel 口的缺省vlan 。因为当报文从Trunk 说明 port 或 Hybrid port 输出时,会被剥去 VID 为其 Native vlan 的Tag 。 2、 由于开启QinQ 功能的设备会为用户报文封装其他 VLAN 的外层Tag,不会按报 文中原始的 VLAN 进行转发,因此不需要在设备上创建用户的 VLAN 。 配置步骤 1)配置 Provider A 第一步,创建服务商 VLAN 10 、20 用于区别两个用户的数据 Ruijie#configure terminal Enter configuration commands, one per line. End with CNTL/Z. Ruijie(config)#vlan 10 Ruijie(config-vlan)#exit Ruijie(config)#vlan 20 Ruijie(config-vlan)#exit 第二步,在连接企业 A 网络的接口上启用基本QinQ 功能,使用 VLAN10 对企业 A 网络的数据 进行隧道传输。 Ruijie(config)#interface gigabitEthernet 0/1 Ruijie(config-if-GigabitEthernet 0/1)#switchport mode dot1q-tunnel Ruijie(config-if-GigabitEthernet 0/1)#switchport dot1q-tunnel native vlan 10 Ruijie(config-if-GigabitEthernet 0/1)#switchport dot1q-tunnel allowed vlan add untagged 10 第三步,在连接

文档评论(0)

liwenhua00 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档